全球ALD/高K金屬前驅體市場分析(2023-2024)
市場調查報告書
商品編碼
1413683

全球ALD/高K金屬前驅體市場分析(2023-2024)

ALD / High K Metal Precursors Market Report (a Critical Materials Report) 2023-2024

出版日期: | 出版商: TECHCET | 英文 276 Pages | 商品交期: 最快1-2個工作天內

價格

本報告分析了全球與無機氣體和液體 CVD/ALD 前驅體(金屬、金屬氧化物、高 k、電介質和 SOD 材料)相關的市場和技術趨勢。在過去的二十年中,針對半導體產業特有的 ALD 和 CVD 前驅體發表了許多研究論文和專利。該報告還討論了新前體的開發途徑和路線圖,以及當前的環境、健康和安全 (EHS) 以及將這些材料投入大批量生產 (HVM) 的監管障礙。為所有類型的前體提供預測,特別是先進的前端絕緣和絕緣,包括 higi-K、金屬電極、互連金屬化、犧牲層、低 k 電介質、硬掩模、心軸和蝕刻停止層。導電材料。這些製程領域與先進邏輯(sub-45nm、28nm至10/7nm節點、未來5nm和3nm節點)、先進DRAM和3DNAND易失性和非揮發性記憶體相關的高成長潛力正在引起關注。

ALD/High-K 市場最新資訊和分析:概述 - 特色新聞稿:

目錄

第一章執行摘要

第二章 研究範圍、目的與方法

第三章 半導體產業市場現況與展望

  • 世界經濟
    • 連結半導體產業與全球經濟
    • 半導體銷售額成長率
    • 台灣月度銷售趨勢
    • 2023年高度不確定性-半導體收入成長預計將放緩至負值
  • 晶片銷售趨勢:按電子設備細分市場
    • 手機
    • PC 出貨量
    • 伺服器/IT市場
  • 半導體製造業的成長與擴張
    • 鑄造廠擴建公告:概述
    • 透過在世界各地擴大鑄造廠來加速成長
    • 資本支出趨勢
    • 技術路線圖
    • 代工投資評估
  • 政策和貿易趨勢及影響
  • 半導體材料概述
    • 晶片生產進度可能受到材料產能限制
    • 減少物流問題
    • 晶圓市場趨勢預測(至2027年)
    • 材料市場預測

第四章 前驅體市場走勢

  • 市場趨勢
    • 市場趨勢:推出晶圓數量
    • 市場趨勢:引入晶圓數量(邏輯)
    • 市場趨勢:引入晶圓數量(DRAM)
    • 市場趨勢:引入晶圓數量(NAND)
  • 供給能力、需求和投資
    • WF6 的需求驅動因素
    • WF6的市場需求
    • WF6市場需求:MO ALD IP應用
    • WF6的市場需求
  • 供給能力、需求和投資
    • 供應能力、需求和投資:鉿和鋯
  • 金屬前驅物:區域趨勢
    • 區域趨勢:金屬前驅
    • 市場趨勢與驅動因素:按地區
  • CVD/ALD設備市場
    • WFE 預測:所有類型
    • WFE預測:堆疊、蝕刻/清潔、光刻、計量等。
  • 技術驅動因素/材料變化與轉變:依設備類型
    • 過去10年整體趨勢:從PVD/LPCVD到PECVD/ALD
    • 高階邏輯節點HVM的估計
    • DRAM節點HVM估計
    • 3D NAND 節點 HVM 估計
    • 按設備細分市場劃分的機會:概述
  • 半導體製程和材料的趨勢
    • 按元件類型劃分的蝕刻製程:原子層蝕刻
    • 區域選擇性沉積
    • 定向自組裝 (DSA) 和 EUV
    • 直接自組裝 (DSA) 和 EUV
    • 2D TDM(過渡金屬二硫化物)
    • EUV 乾抗蝕劑
    • EUV抗蝕劑下層
    • 其他用途:光學
  • EHS 和物流問題:鋯和鉿
    • EHS 和物流問題:鋯和鉿
    • EHS 與物流問題:鈦
    • EHS 與物流問題:鎢
    • EHS 與物流問題:鎢
    • EHS 與物流問題:鈷
    • EHS 與物流問題:Ruthenium
    • 半導體製造產生的溫室氣體
    • EUV 和能源
    • 評估原子層沉積 (ALD) 製程的環境影響以及減少影響的途徑
  • 標準套件/閥門類型變更
  • 市場評價

第五章市場統計與預測:按細分市場

  • 前驅體市場表現及預測(5年)
    • CVD/ALD 金屬和高 K 前驅體收入(2021-2027 年)
    • 供需預測:WF6(至 2023 年)
    • 市場評價:金屬和高k
  • 併購 (M&A) 活動
    • 併購活動 - MERCK & MECARO
  • 新工廠
  • 供應商工廠關閉 - 未報告
  • 新加入者 - 幹阻聯盟
  • 價格趨勢
    • 價格趨勢:鉿

第六章 下層材料供應鏈

  • 下游供應鏈:概述
    • 下游供應鏈:顛覆與中國
  • 後勤
  • 下游供應鏈的新進入者 - 未報告
  • 下游供應鏈工廠最新資訊(新)-無報告
  • 下游供應鏈:TechCET 分析師評估

第七章 供應商簡介

  • ADEKA CORPORATION
  • AIR LIQUIDE (MAKER, PURIFIER, SUPPLIER)
  • AZMAX CO., LTD.
  • CITY CHEMICAL LLC
  • DNF CO., LTD.
  • 其他20多家企業

This report provides market and technical trend information on inorganic gases and liquid CVD/ALD precursors (metal, metal oxide, high K, dielectric and SOD materials). For the last 20 years, there have been many research papers and patents published regarding ALD and CVD precursors specifically for the semiconductor industry. This report includes detail on the development path and roadmaps for new precursors and any current EHS and regulatory hurdles for these materials to enter into high volume manufacturing (HVM). Forecasts are provided on precursors of all types, with a focus is on the leading-edge front end of the line insulating and conductive materials, including high K, metal electrode, interconnect metallization, sacrificial layers, low-k dielectrics, hard masks, mandrel, and etch stop layers. These process areas are of interest because of the high growth potential associated with leading-edge logic <45 nm, 28 nm to 10/7 nm nodes, and the future 5 & 3 nm nodes, as well as advanced DRAM and 3DNAND volatile and non-volatile memories.

This report comes with 3 Quarterly Updates featuring updated market information and forecasting from the report analyst.

Table of Contents

1. Executive Summary

  • 1.1. REGIONAL TRENDS-METAL PRECURSORS
  • 1.2. REGIONAL TRENDS-TOTAL PRECURSOR MARKET
  • 1.3. PRECURSOR MARKET-HISTORICAL AND 5-YEAR FORECAST
  • 1.4. CVD/ALD METAL & HIGH-K PRECURSOR REVENUE 2021 TO 2027
  • 1.5. ASSESSMENT-METAL & HIGH-K
  • 1.6. SUPPLY-DEMAND FORECAST-WF6 (UNTIL 2023)
  • 1.7. CVD AND ALD EQUIPMENT MARKET
  • 1.8. ANALYST ASSESSMENT-HI K & METAL PRECURSORS
    • 1.8.2. ANALYST ASSESSMENT

2. Scope, Purpose, and Methodology

  • 2.1. SCOPE
  • 2.2. PURPOSE
  • 2.3. METHODOLOGY
  • 2.4. OVERVIEW OF OTHER TECHCET CMR™ REPORTS

3. Semiconductor Industry Market Status & Outlook

  • 3.1. WORLDWIDE ECONOMY
    • 3.1.1. SEMICONDUCTOR INDUSTRIES TIES TO THE GLOBAL ECONOMY
    • 3.1.2. SEMICONDUCTOR SALES GROWTH
    • 3.1.3. TAIWAN MONTHLY SALES TRENDS
    • 3.1.4. UNCERTAINTY ABOUNDS ESPECIALLY FOR 2023 -SLOWER TO NEGATIVE SEMICONDUCTOR REVENUE GROWTH EXPECTED
  • 3.2. CHIPS SALES BY ELECTRONIC GOODS SEGMENT
    • 3.2.1. SMARTPHONES
    • 3.2.2. PC UNIT SHIPMENTS
    • 3.2.3. SERVERS / IT MARKET
  • 3.3. SEMICONDUCTOR FABRICATION GROWTH & EXPANSION
    • 3.3.1. FAB EXPANSION ANNOUNCEMENT SUMMARY
    • 3.3.2. WW FAB EXPANSION DRIVING GROWTH
    • 3.3.3. EQUIPMENT SPENDING TRENDS
    • 3.3.4. TECHNOLOGY ROADMAPS
    • 3.3.5. FAB INVESTMENT ASSESSMENT
  • 3.4. POLICY & TRADE TRENDS AND IMPACT
  • 3.5. SEMICONDUCTOR MATERIALS OVERVIEW
    • 3.5.1. COULD MATERIALS CAPACITY LIMIT CHIP PRODUCTION SCHEDULES?
    • 3.5.2. LOGISTICS ISSUES EASED DOWN
    • 3.5.3. TECHCET WAFER STARTS FORECAST THROUGH 2027
    • 3.5.4. TECHCET'S MATERIAL FORECAST

4. Precursor Market Trends

  • 4.1. MARKET TRENDS
    • 4.1.1. MARKET TRENDS-WAFER STARTS
    • 4.1.2. MARKET TRENDS-WAFER STARTS LOGIC
    • 4.1.3. MARKET TRENDS-WAFER STARTS DRAM
    • 4.1.4. MARKET TRENDS-WAFER STARTS NAND
  • 4.2. SUPPLY CAPACITY AND DEMAND, INVESTMENTS
    • 4.2.1. WF6 DEMAND DRIVERS
    • 4.2.2. WF6 MARKET DEMAND
    • 4.2.4. WF6 MARKET DEMAND-MO ALD IP FILING
    • 4.2.5. WF6 MARKET DEMAND
  • 4.3. SUPPLY CAPACITY AND DEMAND, INVESTMENTS
    • 4.3.1. SUPPLY CAPACITY AND DEMAND, INVESTMENTS: HAFNIUM
  • & ZIRCONIUM
  • 4.4. REGIONAL TRENDS-METAL PRECURSORS
    • 4.4.1. REGIONAL TRENDS-METAL PRECURSORS
    • 4.4.2. REGIONAL TRENDS AND DRIVERS
  • 4.5. CVD AND ALD EQUIPMENT MARKET
    • 4.5.1. WFE FORECAST: ALL TYPES
    • 4.5.2. WFE FORECAST: DEPOSITION, ETCH & CLEAN, LITHOGRAPHY, METROLOGY ETC.
  • 4.6. TECHNICAL DRIVERS /MATERIAL CHANGES AND TRANSITIONS BY DEVICE TYPE
    • 4.6.1. GENERAL TREND LAST DECADE GOING FROM PVD & LPCVD TO PECVD & ALD
    • 4.6.2. ADVANCED LOGIC NODE HVM ESTIMATE
    • 4.6.3. DRAM NODE HVM ESTIMATE
    • 4.6.4. 3D NAND NODE HVM ESTIMATE
    • 4.6.5. SUMMARY OF OPPORTUNITIES BY DEVICE SEGMENT
  • 4.7. SEMICONDUCTOR PROCESS & MATERIALS TRENDS
    • 4.7.1. ETCH PROCESS BY DEVICE TYPE-ATOMIC LAYER ETCHING ALE
    • 4.7.2. AREA SELECTIVE DEPOSITION
    • 4.7.3. DIRECTED SELF ASSEMBLY (DSA) AND EUV
    • 4.7.4. DIRECT SELF ASSEMBLY (DSA) AND EUV
    • 4.7.5. 2D TRANSITION METAL DICHALCOGENIDES (TMD)
    • 4.7.6. DRY RESIST FOR EUV
    • 4.7.7. UNDERLAYERS FOR EUV RESIST
    • 4.7.8. OTHER APPLICATIONS-CHAMBER COATINGS BY ALD (Y2O3)
    • 4.7.9. OTHER APPLICATIONS-OPTICS
  • 4.8. EHS AND LOGISTIC ISSUES-ZIRCONIUM AND HAFNIUM
    • 4.8.1. EHS AND LOGISTIC ISSUES-ZIRCONIUM AND HAFNIUM
    • 4.8.2. EHS AND LOGISTIC ISSUES-TITANIUM
    • 4.8.3. EHS AND LOGISTIC ISSUES-TUNGSTEN
    • 4.8.4. EHS AND LOGISTIC ISSUES-TUNGSTEN
    • 4.8.5. EHS AND LOGISTIC ISSUES-COBALT
    • 4.8.6. EHS AND LOGISTIC ISSUES-RUTHENIUM
    • 4.8.7. GREEN HOUSE GASES FROM SEMICONDUCTOR PRODUCTION
    • 4.8.8. EUV AND ENERGY
    • 4.8.9. ASSESSING THE ENVIRONMENTAL IMPACT OF ATOMIC LAYER DEPOSITION (ALD) PROCESSES AND PATHWAYS TO LOWER IT
  • 4.9. CHANGES IN STANDARD PACKAGING/VALVE TYPES
  • 4.10. MARKET ASSESSMENT

5. Segment Market Statistics & Forecasts

  • 5.1. PRECURSOR MARKET-HISTORICAL AND 5-YEAR FORECAST
    • 5.1.1. CVD/ALD METAL & HIGH-K PRECURSOR REVENUE 2021 TO 2027
    • 5.1.2. SUPPLY-DEMAND FORECAST-WF6 (UNTIL 2023)
    • 5.1.3. ASSESSMENT-METAL & HIGH-K
  • 5.2. M&A ACTIVITIES
    • 5.2.1. M&A ACTIVITIES-MERCK & MECARO
  • 5.3. NEW PLANTS
    • 5.3.1. NEW PLANTS
    • 5.3.2. NEW PLANTS
    • 5.3.3. NEW PLANTS
    • 5.3.4. NEW PLANTS
  • 5.4. SUPPLIER PLANT CLOSURES-NONE REPORTED
  • 5.5. NEW ENTRANTS-DRY RESIST CONSORTIUM
  • 5.6. PRICING TRENDS
    • 5.6.1. PRICING TRENDS-HAFNIUM

6. Sub Tier Material Supply Chain

  • 6.1. SUB-TIER SUPPLY-CHAIN: INTRODUCTION
    • 6.1.1. SUB-TIER SUPPLY-CHAIN: DISRUPTIONS & CHINA
  • 6.2. LOGISTICS
  • 6.3. SUB-TIER SUPPLY-CHAIN "NEW" ENTRANTS-NONE REPORTED
  • 6.4. SUB-TIER SUPPLY-CHAIN PLANTS UPDATES-NEW-NONE REPORTED
  • 6.5. SUB-TIER SUPPLY-CHAIN TECHCET ANALYST ASSESSMENT

7. Supplier profiles

  • ADEKA CORPORATION
  • AIR LIQUIDE (MAKER, PURIFIER, SUPPLIER)
  • AZMAX CO., LTD.
  • CITY CHEMICAL LLC
  • DNF CO., LTD.
  • ...and 20+ more

LIST OF FIGURES

  • FIGURE 1: METAL PRECURSORS MARKET SHARES 2022
  • FIGURE 2: TOTAL PRECURSOR MARKETS REGIONAL 2022
  • FIGURE 3: TOTAL PRECURSOR MARKET, M USD
  • FIGURE 4: CVD/ALD METAL & HIGH-K PRECURSORS 2021 TO 2027
  • FIGURE 5: WF6 SUPPLY VS. DEMAND THROUGH 2027
  • FIGURE 6: CVD AND ALD TOTAL EQUIPMENT MARKET 2022 USD 17-18 BILLION
  • FIGURE 7: GLOBAL ECONOMY AND THE ELECTRONICS SUPPLY CHAIN (2022)
  • FIGURE 8: WORLDWIDE SEMICONDUCTOR SALES
  • FIGURE 9: TECHCET'S TAIWAN SEMICONDUCTOR INDUSTRY I NDEX (TTSI)*
  • FIGURE 10: 2023 SEMICONDUCTOR INDUSTRY REVENUE GROWTH FORECASTS
  • FIGURE 11: 2022 SEMICONDUCTOR CHIP APPLICATIONS
  • FIGURE 12: MOBILE PHONE SHIPMENTS WW ESTIMATES
  • FIGURE 13: WORLDWIDE PC AND TABLET FORECAST
  • FIGURE 14: ELECTRIFICATION TREND BY WORLD REGION
  • FIGURE 15: SEMICONDUCTOR AUTOMOTIVE PRODUCTION
  • FIGURE 16: TSMC PHOENIX INVESTMENT ESTIMATED WILL BE US $40 B
  • FIGURE 17: CHIP EXPANSIONS 2022-2027 US$366 B
  • FIGURE 18: SEMICONDUCTOR CHIP MANUFACTURING REGIONS OF THE WORLD
  • FIGURE 19: GLOBAL TOTAL EQUIPMENT SPENDING BY SEGMENT (US$ B)
  • FIGURE 20: OVERVIEW OF ADVANCED LOGIC DEVICE TECHNOLOGY ROADMAP
  • FIGURE 21: INTEL OHIO PLANT SITE FEB. 2023 AND ARTIST RENDERING (ON BOTTOM)
  • FIGURE 22: EUROPE CHIP EXPANSION UPSIDE
  • FIGURE 23: PORT OF LA
  • FIGURE 24: TECHCET WAFER START FORECAST BY NODE SEGMENTS**
  • FIGURE 25: GLOBAL SEMICONDUCTOR MATERIALS OUTLOOK
  • FIGURE 26: FORECASTS--WAFER STARTS 2021 TO 2027
  • FIGURE 27: FORECASTS--WAFER STARTS LOGIC 300 MM
  • FIGURE 28: FORECASTS--WAFER STARTS DRAM 300 MM
  • FIGURE 29: FORECASTS--WAFER STARTS NAND 300 MM
  • FIGURE 30: 3DNAND MARKET SHARE 2022
  • FIGURE 31: 3DNAND STRUCTURE
  • FIGURE 32: MO PRECURSORS
  • FIGURE 33: PATENT FAMILIES FILED FOR MOLYBDENUM ALD IN THE MEMORY SPACE
  • FIGURE 34: WAFER START FORECAST SHOWING TWO TIMING SCENARIOS WHERE MO COULD BE INTRODUCED
  • FIGURE 35: ZIRCONIUM METAL DEMAND 2021 1.6 MILLION TONNES
  • FIGURE 36: HAFNIUM METAL DEMAND 2021 78 TONNES
  • FIGURE 37: METAL PRECURSORS MARKET SHARES 2022
  • FIGURE 38: CVD AND ALD TOTAL EQUIPMENT MARKET 2022 USD 17-18 BILLION
  • FIGURE 39: SEMI 2022 SEMICONDUCTOR EQUIPMENT FORECAST
  • FIGURE 40: 2022 TECHINSIGHTS WFE SPENDING (TOP) AND 2022 GARTNER WFE SPENDING PER NODE (BOTTOM)
  • FIGURE 41: 3D DEVICE ARCHITECTURES
  • FIGURE 42: LOGIC TECHNOLOGY NODE ROADMAP FOR LEADING IDMS
  • FIGURE 43: SAMSUNG START 3 NM PILOT RAMP USING GAA-FET TECHNOLOGY JUNE 2022
  • FIGURE 44: IMEC 2022 LOGIC ROADMAP
  • FIGURE 45: SCALING AND LITHOGRAPHY TRENDS--A HIGH COST IN CAPITAL EXPENDITURE, ENERGY AND EMISSIONS
  • FIGURE 46: APPLIED MATERIALS CENTURA PATTERN SHAPING CLUSTER
  • FIGURE 47: DRAM TECHNOLOGY ROADMAP FOR LEADING IDMS
  • FIGURE 48: IP FILING IN THE FIELD OF 3DRAM IS ACCELERATING
  • FIGURE 49: NAND TECHNOLOGY ROADMAP FOR LEADING IDMS
  • FIGURE 50: PATHWAYS FOR CONTINUED 3D NAND SCALING
  • FIGURE 51: 3DNAND STACK TRENDS FROM <100L TO 4 STACKS
  • FIGURE 52: MEMORY STACK CHALLENGES FOR V-NAND
  • FIGURE 53A: FINFET TO GAA TRANSISTOR DIAGRAMS SHOWING SELECTIVE ETCHING IS NEEDED TO ADDRESS DEVICE COMPLEXITY
  • FIGURE 53B: ALE PROCESS CYCLE
  • FIGURE 54: PERIOD TABLE INDICATING CANDIDATES FOR ALE (ISOTROPIC ETCHING)
  • FIGURE 55: APPLICATION OF ALE (ISOTROPIC ETCH)
  • FIGURE 56: ALD AND ALE COMBO PROCESS
  • FIGURE 57: PLASMA AND THERMAL ALE PROCESSES
  • FIGURE 58: LAM ALE PROCESS
  • FIGURE 59: ALD / ALE PROCESS ROADMAP
  • FIGURE 60: ALE PATENT ACTIVITY BY COMPANY THROUGH 2022
  • FIGURE 61: AREA SELECTIVE SIN DEPOSITION BY ALD (AVS ASD2022)
  • FIGURE 62: DSA AND EUS PROCESSES
  • FIGURE 63: RESIST RECTIFICATION WITH DSA
  • FIGURE 64: TEM AND ARTIST RENDERING OF MONOLAYER CHANNEL FORMATION
  • FIGURE 65: EUV LITHOGRAPHY ENABLING GATE STRUCTURES AND PITCH SCALING
  • FIGURE 66: DRY RESIST FOR EUV SEM IMAGE
  • FIGURE 67: SPIN ON CARBON (SOC) DIELECTRIC FOR EUV METAL OXIDE RESISTS PATTERNS AFTER LITHO
  • FIGURE 68: UNDERLAYER (DIELECTRIC) HARDMASKS TRENDS FOR NIGH NA EUV
  • FIGURE 69: SPIN ON PRIMER (SOC) VS. HMDS PRIMER
  • FIGURE 70: Y2O3 ALD VS. SPRAY COATINGS
  • FIGURE 71: GREENHOUSE GAS CONTRIBUTIONS OF CHIP FAB MATERIALS AND EQUIPMENT
  • FIGURE 72: ENVIRONMENTAL IMPACT (GWP) OF VARIOUS PROCESSES AND GASES
  • FIGURE 73: CO2EQ OUTPUT FROM ETCH GASES (SOURCE: IMEC)
  • FIGURE 74: TOTAL EMISSIONS AND ENERGY USE PROJECTION PER LOGIC NODE
  • FIGURE 75: ENVIRONMENTAL IMPACT OF ALD
  • FIGURE 76: SEGMENTATION OF THE AMPOULE FLEET 2020
  • FIGURE 77: TOTAL PRECURSOR MARKET, M USD
  • FIGURE 78: CVD/ALD METAL & HIGH-K PRECURSORS 2021 TO 2027
  • FIGURE 79: WF6 SUPPLY VS. DEMAND THROUGH 2027
  • FIGURE 80: WHAT IS EUV DRY RESIST?
  • FIGURE 81: HAFNIUM METAL SPOT PRICING
  • FIGURE 82: TYPICAL NON-HALIDE LIGANDS USED FOR ALD PRECURSORS
  • FIGURE 83: EXAMPLES OF PRECURSORS SUPPLIED BY SHIP
  • FIGURE 84: OCEAN CONTAINER PRICE INDEX-JULY '20 TO MARCH '23

LIST OF TABLES

  • TABLE 1: DIELECTRIC PRECURSOR REVENUES BY REGION (US$ M)
  • TABLE 2: TOTAL PRECURSOR MARKETS BY REGION (US$ M)
  • TABLE 3: 2017 TO 2027 5-YEAR CAGRS
  • TABLE 4: GLOBAL GDP AND SEMICONDUCTOR REVENUES*
  • TABLE 5: IMF ECONOMIC OUTLOOK*
  • TABLE 6: DATA CENTER SYSTEMS AND COMMUNICATION SERVICES MARKET SPENDING 2022
  • TABLE 7: PRECURSOR USAGE OF LEADING IDMS
  • TABLE 8: DIELECTRIC PRECURSOR MARKET SIZE BY REGION
  • TABLE 9: TOTAL PRECURSOR MARKET SIZE BY REGION
  • TABLE 10: REGIONAL PRECURSOR MARKETS
  • TABLE 12: OVERVIEW OF DEPOSITION PROCESSES BY DEVICE TYPE AND MATERIAL FOR LOGIC DEVICES
  • TABLE 13: OVERVIEW OF DEPOSITION PROCESSES BY DEVICE TYPE AND MATERIAL FOR DRAM
  • TABLE 14: OVERVIEW OF DEPOSITION PROCESSES BY DEVICE TYPE AND MATERIAL FOR 3DNAND
  • TABLE 15: GAS TRENDS AND OPPORTUNITIES BY DEVICE TYPE
  • TABLE 16: OVERVIEW OF DEPOSITION PROCESSES BY DEVICE TYPE AND MATERIAL
  • TABLE 17: PRECURSOR 5-YEAR CAGR COMPARISON
  • TABLE 18: ZIRCONIUM MINING PRODUCTION AND RESERVES
  • TABLE 19: WORLDWIDE TUNGSTEN PRODUCTION AND RESERVES
  • TABLE 20: ZIRCONIUM MINING