半導體報告包
年間契約型資訊服務
商品編碼
999380

半導體報告包

The Information Network - Semiconductor Report Package

出版日期: 年間契約型資訊服務 | 出版商: Information Network | 英文

價格
如有價格方面的疑問請按下「詢問」鍵來信查詢
簡介目錄

此報告包包含11個報告和一個Excel數據庫。

全球半導體設備:市場,市場份額,市場預測

該報告描述了27個不同領域的半導體器件市場,並提供了美國,歐洲和亞洲49家主要器件供應商的概況。顯示每個公司在每個部門的市場份額。

熱門IC:人工智能(AI),5G,CMOS圖像傳感器,存儲芯片市場分析

該報告詳細介紹了人工智能(AI),5G,CMOS圖像傳感器和存儲芯片(DRAM,NAND,NVM)。提供了到2025年的IC市場及其用途的預測,顯示了每個部門的市場份額。

應用材料:產品市場的競爭分析

本報告介紹了應用材料公司爭奪世界統治地位的當前策略。還分析了競爭對手的策略。詳細的市場分析和預測,應用材料及其競爭對手的市場份額。

CMP設備/消耗品:市場分析和預測

該報告檢查並預測了與半導體層平坦化(平坦化)相關的技術。瞭解技術趨勢,產品,應用以及材料和設備的供應商。顯示了CMP設備和材料的市場預測。

中國大陸半導體和器件市場:分析和製造趨勢

這份報告超過300頁,分析了中國大陸的半導體和設備行業,並研究了構成這一早期行業的技術,經濟和政治問題。

VLSI製造中的測量,檢查,過程控制

該報告按細分領域(光刻測量,晶圓檢查/缺陷驗證,薄膜測量和其他過程控制系統)提供了對過程控制市場的完整分析。每個部門進一步細分。顯示所有細分市場中競爭對手的市場份額。

等離子蝕刻:市場分析和戰略問題

本報告解決了影響半導體行業等離子刻蝕設備的用戶和供應商的戰略問題。顯示了乾法蝕刻市場和剝離市場的分析和預測,以及各個部門的市場份額。

100nm以下光刻技術:市場分析和戰略問題

光刻工具對於芯片製造商遵循簡化的路線圖至關重要。英特爾,三星和台積電都希望通過在5nm節點以下設置縮小的路線圖來以低成本提供高性能芯片。

薄膜形成:趨勢,主要問題,市場分析

本報告介紹了薄膜沉積材料和設備的技術趨勢,產品,應用和供應商。它還提供有關長期用戶,長期計劃,新產品開發和產品改進的未來用戶需求的見解。顯示了薄膜形成工具各部門的市場份額和市場預測。

功率半導體:市場,材料,技術

該報告按類型,地區和應用提供了對全球功率半導體市場的分析和預測。我們還將重點放在基板類型市場中的新材料以及SiC和GaN的製造上。

倒裝芯片/WLP製造和市場分析

該報告分析了倒裝芯片和WLP技術,並按類型和應用提供了封裝的預測。

目錄

全球半導體設備:市場,市場份額,市場預測

第1章簡介

第2章半導體行業的推動因素

  • 簡介
  • 鑄造廠
  • DRAM
  • NAND
  • 中國

第3章市場份額和業務單位的預測

  • AMHS市場份額和預測
  • CMP市場份額和預測
  • 清潔市場份額和預測
  • 市場份額和成膜預測
  • 離子注入的市場份額和預測
  • 鋰的市場份額和預測
  • 氧化/擴散市場份額及預測
  • 光刻膠加工(卡車)市場份額和預測
  • RTP市場份額和預測
  • 等離子蝕刻市場份額及預測
  • 過程控制市場份額和預測
  • WLP市場份額和預測

第4章美國半導體設備供應商資料

  • Applied Materials
  • Axcelis Technologies
  • KLA-Tencor
  • Lam Research
  • Nanometrics
  • Rudolph Technologies
  • Veeco

第5章歐洲半導體器件供應商資料

  • Aixtron
  • ASM International
  • ASML
  • Carl Zeiss
  • Camtek
  • EV Group
  • LPE
  • Mycronic
  • Nova Measuring Instruments
  • Oerlikon/Evatec
  • Semilab

第6章亞洲半導體器件供應商簡介

  • Advantest
  • Canon
  • Canon Anelva
  • Daifuku
  • Ebara
  • Eugene Technology
  • Hitachi High-Technologies
  • Hitachi Kokusai Electric
  • JEOL
  • Jusung Engineering
  • KC Tech
  • Lasertec
  • Murata Machinery
  • Nikon
  • Nippon Sanso
  • Nissin Ion Equipment
  • NuFlare Technology
  • PSK
  • Screen Semiconductor Solutions
  • SEMES
  • SEN
  • TES
  • Tokyo Electron
  • Tokyo Seimitsu
  • Topcon Technohouse
  • Toray Engineering
  • Ulvac
  • Ushio
  • Wonik IPS

熱門IC:人工智能(AI),5G,CMOS圖像傳感器,存儲芯片市場分析

第1章執行摘要

第2章CMOS圖像傳感器(CIS)

  • CMOS傳感器技術和趨勢
  • 應用
  • 市場分析
  • CMOS圖像傳感器供應商的產品和配置文件
    • Canon
    • Galaxycore
    • Hanamatsu
    • LG Electronics
    • Newsight Imaging
    • ON Semiconductor
    • Omnivision
    • Pixelplus
    • Samsung Electronics
    • SK Hynix
    • Sharp
    • Sony
    • TowerJazz Panasonic

第3章5G

  • 5G芯片技術與趨勢
  • 應用
  • 市場分析
  • 5G芯片供應商的產品和資料
    • Analog Devices
    • Anokiware
    • Apple
    • Broadcom
    • Huawei
    • Infineon
    • Intel
    • Inphi
    • Microchip
    • MediaTek
    • Marvell
    • M/A-Com
    • NXP Semiconductor
    • On Semiconductor
    • Qualcomm
    • Qorvo
    • Samsung Electronics
    • Sivers IMA
    • Skyworks Solutions
    • STMicroelectronics
    • Teradyne
    • Texas Instruments
    • Win Semiconductors
    • Xilinx

第4章人工智能(AI)

  • 人工智能技術與趨勢
  • 應用
  • 市場分析
  • AI芯片技術
  • AI芯片供應商的產品和配置文件
    • IC供應商
      • AMD
      • HiSilicon
      • IBM
      • Intel
      • MediaTek
      • Nvidia
      • NXP
      • Qualcomm
      • Rockchip
      • Samsung Electronics
      • STMicroelectronics
      • Xilinx
    • 雲端提供商-技術負責人
      • Alibaba
      • Alibaba Cloud
      • Amazon
      • Apple
      • Baidu
      • Facebook
      • Fujitsu
      • Google
      • Huawei Cloud
      • Microsoft
      • Nokia
      • Tencent Cloud
      • Tesla
    • IP供應商
      • ARM
      • CEVA
      • Imagination
      • VeriSilicon
      • Videantis
    • 世界初創企業
      • Adapteva
      • aiCTX
      • AImotive
      • AlphaICs
      • BrainChip
      • Cerebras Systems
      • Cornami
      • DeepScale
      • Esperanto Technologies
      • Graphcore
      • GreenWaves Technology
      • KAIST
      • Kalray
      • Kneron
      • Knowm
      • Koniku
      • Mythic
      • SambaNova Systems
      • Videantis
      • Wave Computing
    • 中國初創企業
      • AISpeech
      • Bitmain
      • Cambricon
      • Chipintelli
      • DeePhi Tech
      • Horizon Robotics
      • NextVPU
      • Rokid
      • Thinkforce
      • Unisound

第5章存儲芯片

  • 內存技術和趨勢
  • 應用
  • 市場分析
  • 內存芯片供應商的產品和配置文件
    • CXMT
    • Fujian
    • GigaDevice Semiconductor
    • Intel
    • Micron Technology
    • Nanya
    • Powerchip Technology
    • Samsung Electronics
    • SK Hynix
    • Toshiba (Kloxia)
    • Tsinghua Chongqing
    • Western Digital
    • Winbond
    • YMTC

應用材料:產品市場的競爭分析

第1章簡介

第2章應用策略

  • 市場策略
  • 經營策略
  • 技術戰略
  • 產品策略
  • 收購策略
  • 法律策略
  • 財務分析

第3章市場預測

  • 市場促進因素
  • 應用材料-到2019年世界市場領導者
  • 市場規模和市場份額

第4章競爭環境

  • 簡介
  • Lam Research
  • Tokyo Electron Limited
  • KLA
  • ASM International
  • Axcelis
  • Canon Anelva
  • Ebara
  • Eugene Technology
  • Hitachi High-Technologies
  • Hitachi Kokusai Electric
  • Jusung Engineering
  • KC Tech
  • Lasertec
  • Nissin Ion Equipment
  • NuFlare Technology
  • Screen Semiconductor Solutions
  • SEMES
  • SEN
  • TES
  • Ulvac
  • Wonik IPS

CMP設備/消耗品:市場分析和預測

第1章簡介

第2章執行摘要

第3章平面化方法

  • 需要平面化
  • 應用
  • 平面化技術
  • CMP

第4章CMP消耗品

  • 泥漿
  • CMP後清潔
  • 拋光墊

第5章CMP設備

  • 單頭方法
  • 多頭方法
  • 設備配置文件
    • Applied Materials
    • Ebara
    • KC Tech
    • Accretech
    • Revasum

第6章用戶問題

  • 擁有成本
  • 用戶要求
  • 供應商基準測試
  • 用戶和供應商的協同效應
  • 可靠性
  • 設備可維護性

第7章市場預測

  • 簡介
  • 市場預測的前提
  • 設備市場
  • 耗材市場

中國大陸半導體和器件市場:分析和製造趨勢

第1章簡介

第2章半導體行業

  • 簡介
  • 中國半導體市場
  • 中國的半導體基礎設施
  • 政府目標
  • 中國半導體製造商
    • Wuxi China Resources Huajing Microelectronics
    • CSMC Technologies
    • Shanghai Huahong Grace Semiconductor Manufacturing Corporation (HHGRACE)
    • Hangzhou Youwang Electronics
    • Huayue Microelectronics
    • Semiconductor Manufacturing Int'l (SMIC)
    • Advanced Semiconductor Manufacturing (ASMC)
    • Fairchild Semiconductor
    • Shanghai Belling
    • SK Hynix
    • Toshiba Semiconductor (Wuxi)
    • HeJian Technology
    • TSMC
    • Ningbo BYD Semiconductor
    • SIM-BCD
    • Intel
    • Samsung
    • Datung NXP Semiconductors
    • UMC
    • XMC
    • Shanghai Huali Microelectronics Corporation
    • GlobalFoundries
  • 後端製造
  • 印刷電路板(PCB)的製造
  • 微電子行業中的設備
  • IC設計
  • 電子零件
  • 外國公司的作用
  • 對集成電路產業的評價

第3章市場分析

  • 亞洲經濟復甦
  • 半導體市場
  • 半導體器件市場
  • 溝通

第4章高科技分部

  • 簡介
  • 交流
  • 光電
  • 軟件
  • 消費電子
  • 化學品/材料
  • 環保產業
  • 顯示

第5章高新技術產業開發區

  • 簡介
  • 火炬計劃
  • 高新技術產業開發區
  • 自由貿易區

第6章經濟統計和分析

  • 經濟狀況
  • GDP
  • 就業部門
  • 通貨膨脹
  • 零售
  • 對外貿易
  • 消費支出
  • 投資
  • 經濟統計
簡介目錄

The package includes 11 reports and a excel database.

The Global Semiconductor Equipment: Markets, Market Shares, Market Forecasts

Semiconductor manufacturers are accelerating their shrinkage roadmap. The demand for semiconductor equipment is largely driven by the additional tools required to migrate chip designs to leading-edge nodes. With capacity-driven capex slowing down, semiconductor equipment demand may be unaffected if chipmakers are moving more aggressively to the leading-edge nodes.

China will further accelerate its semiconductor roadmap and capacity-building progress: China has been actively expanding its semiconductor-production capacity and manufacturing facilities. There has been no significant disruption to China's semiconductor industry during the COVID-19 outbreak. For example, Wuhan-based Yangtze Memory Technologies (YMTC) continued operations throughout the lockdown. China currently spends about $5bn on wafer-fab equipment and it can help to offset any potential capex cut from the large chipmakers if it believes its current progress justifies additional investment.

The semiconductor equipment industry's revenue is highly sensitive to the type of chips that are driving demand. The level of semiconductor capex spent by chipmakers: Semiconductor equipment players' revenues are highly sensitive to the capex of chipmakers, such as foundry/logic players (Intel, Taiwan Semiconductor Manufacturing Company, Samsung LSI) and memory players (Micron, SK Hynix and Samsung).

General demand and types of chips required: New semiconductor equipment is required more in making leading-edge chips and computationally intense applications, such as application processors, server chips and CPUs.

The demand for semiconductor equipment is largely driven by the additional tools required for chipmakers to migrate to leading-edge nodes. This is especially the case because ALD tools are required more in foundry and logic chip manufacturing processes. Foundry and logic players are, compared with memory manufacturers, more committed to their shrinkage roadmaps and are therefore more likely to invest in advanced tools, such as ALD.

This report describes the semiconductor equipment markets for 27 different sectors and profiles 49 leading equipment suppliers in the U.S., Europe, and Asia. Market shares for each company for each sector are presented.

Hot ICs: A Market Analysis of Artificial Intelligence (AI), 5G, CMOS Image Sensors, and Memory Chips

Not only are these ICs the "hottest" part of the highest growing technology sectors, they are, in fact, interrelated.

Advanced driver-assistance systems (ADAS) are ubiquitous in autonomous vehicles. ADAS uses CMOS Integrated Sensors, memory, processing, and networking to detect road conditions and provide feedback to drivers-and in some cases to drive the vehicle off the road if there are unsafe conditions.

Besides powering ADAS and autonomous vehicles, AI is also a key enabler of voice recognition technologies, smart cities, and the Internet of Things (IoT). AI alongside the development of greater universal connectivity will enable new services that can benefit consumers in many ways.

Real-world fleets of autonomous vehicles are now ready for commercial deployment and many auto companies already provide some level of autonomous vehicle technology in their latest models. It is likely that on the show floor and in conference presentations we will see new uses for these AI-driven vehicles. We'll also see how possible problems are being tackled before the technology moves more into the consumer space.

The growth in mobile and personal devices, and their need for energy-efficient electronics, will lead to new ways to store information for immediate processing as well as long-term storage. The report details storage and memory products including those using traditional (NAND and DRAM) memories and emerging memories, such as phase change memory (PCM), magnetic random access memory (MRAM) or resistive random access memory. With the end of Moore's-law computer-lithography scaling, there is also a move to more specialized processing capability, tied to particular applications.

This report examines in detail Artificial Intelligence (AI), 5G, CMOS Image Sensor, and Memory Chips (DRAM, NAND, NVM). Markets for the ICs and their applications are forecast to 2025, and market shares given in each sector.

Applied Materials: Competitive Analysis of Served Markets

Applied Materials has achieved a dominant position in the semiconductor equipment market by focusing on a global presence, a broad and expanding product line, and exacting customer support -- a strategy initiated in the mid-80s. In the '90s, most of its competitors had recognized that to be competitive with Applied Materials, they too must duplicate these tactics.

AMAT competes against Lam Research and Tokyo Electron in deposition and etch, and against ASML and KLA in metrology/inspection. Also, as foundry/logic companies move from DUV, with multiple patterning steps, to EUV, deposition-etch steps are minimized, reducing the need for these process tools from AMAT, KLAC, and Tokyo Electron.

Semiconductor equipment players' revenues are highly sensitive to chipmakers' capex. They are exposed to foundry/logic players (Intel, TSMC, Samsung LSI) and the memory names (Micron, SK Hynix and Samsung).

Semiconductor equipment is required more in making leading-edge chips and computationally intense applications such as application processors, server chips and CPUs. The semiconductor equipment industry's revenue will be highly sensitive to a COVID-19 impact on demand for these types of chips.

After nearly 20 years as market leader in the semiconductor equipment front end market, Applied Materials will lose its dominance in 2019 and further to 2020.

This report discusses the current strategies of Applied Materials as it competes for world dominance. Strategies of its competitors are also analyzed. Markets are analyzed and projected, and market shares for Applied Materials and its competitors are detailed.

CMP Equipment and Consumables: Market Analysis and Forecasts

Chemical mechanical planarization (CMP) is a critical production step during microcircuit manufacturing. The smaller the electronic components the more sophisticated the CMP process. The customer goal is to have flat, smooth, polished wafers.

CMP is an essential technology used for local and global planarization of dielectric interlayers, polishing copper damascene architectures, tungsten vias, low-k dielectric films, and shallow trench isolation. The ever-increasing list of semiconductor devices and scaling demands necessitates a wide range of materials to be polished concurrently or sequentially, which increases the complexity of CMP and presents a continual need to optimize process design and control.

CMP pads and slurry are used in the process of chemically and mechanically planing wafer surfaces, with semiconductor wafers typically having multiple layers deposited one atop another. When layers are put down, they must be polished flat before adding the next layer of circuit elements (since more information can be packed onto a flat chip). This is enabled by the CMP process that utilizes a CMP pad and slurry-pads are made of resin and placed on a rotating platen. CMP slurry refers to a chemical dispensed between pad and wafer in this process.

This report examines and projects the technologies involved in the chemical mechanical planarization of semiconductor layers. This report discusses the technology trends, products, applications, and suppliers of materials and equipment. A market forecast for CMP equipment and materials is presented.

Mainland China's Semiconductor and Equipment Markets: Analysis and Manufacturing Trends

China has made some progress in developing its domestic fabless industry, though is still dependent on importing the final manufactured product in several cases. It has also reduced its import dependence in some areas by encouraging global producers to set up manufacturing within China to serve both its local demand as well as use China as an export base. Most noticeably in recent years has been the setting up of memory plants by Samsung, Hynix, and Intel in China that has resulted in a strong CAGR of memory exports from China. However, given the continued growth of domestic tech demand as well as Chinese brand's rising share of end tech products in the global market has meant that China's demand for semiconductors has outpaced the growth of its domestic semis production and has increased demand for semi parts that it does not locally produce, resulting in larger net import of semiconductors.

Seventy percent of all tech- related imports by China are of semiconductor products, thus, making it highly dependent on foreign-sourced parts. Several of these imported semiconductors are also meant for powering its technology related exports (handsets, telco equipment, and consumer electronics). China also imports most of its equipment required for semi and display manufacturing and currently has limited capability to manufacture any of these equipment companies locally. Thus, not surprisingly, the focus of Chinese authorities, in the past decade or more, has been to develop a local semiconductor industry.

China's rising investment in the semiconductor industry is growing TAM for local equipment makers. Based on the projects we track, we expect investments in new fabs or capacity expansion will exceed US$160bn in China over the coming 5-7 years; we expect this will drive an increase in China's equipment spending to more $40 billion in 2025, with sixty percent of the investments going to memory fabs. We believe the expansion of product offerings by local equipment companies will result in significant growth opportunities over the coming five years.

While the focus on developing a domestic semiconductor industry has borne some fruit - local semis production has been growing at a 20% CAGR in recent years (including semi production by global players out of their China-based facilities) - China, as discussed in the previous section, remains a large importer of semiconductors, and hence, the need to continue to focus on developing the local industry. Geopolitical developments in recent years, particularly the inclusion of several Chinese entities (notably Huawei, Hikvision amongst others) by the US on its restricted Entity List, further adds urgency to China's initiatives to localize the tech industry and reduce its import dependence.

This 300+-page report analyzes Mainland China's semiconductor and equipment industries, examining the technical, economic, and political issues that are shaping this nascent industry

Metrology, Inspection, and Process Control in VLSI Manufacturing

Chipmakers remain committed to their capex and shrinkage plans for now: Chipmakers are, according to our research, holding on to their existing orders. There are no indications, for now, that they plan to make cancellations. In addition, we believe KLA's tool is required for foundry and logic chips, rather than memory chips, for which demand is more volatile. We therefore do not expect significant order cancellations for KLA's tools.

The demand for semiconductor equipment is largely driven by the additional tools required for chipmakers to migrate to leading-edge nodes. For KLA, this is especially the case because its tool is required in foundry and logic chip manufacturing processes. Foundry and logic players are, compared to memory chip manufacturers, more committed to shrinkage. In addition, its tool is crucial for developing new processes. With capacity-driven capex slowing down, semiconductor equipment demand may prove resilient if chipmakers migrate more aggressively to the leading edge nodes.

China has been actively expanding its semiconductor capacity and manufacturing facilities. Its foundry and logic chip vendors are at least a few generations behind the leaders in the market. However, China remains committed to making progress on its semiconductor roadmap. In our view, KLA's tool will be used more intensively when the chipmakers are at R&D stages compared to the manufacturing phase. China currently spends about $5 billion on wafer fab equipment so it could help to offset a potential capex cut from large chip manufacturers.

This report offers a complete analysis of the Process Control market, segmented as: Lithography Metrology; Wafer Inspection/Defect Review; Thin Film Metrology; and Other Process Control Systems. Each of these sectors is further segmented. Market shares of competitors for all segment is presented.

Plasma Etching: Market Analysis and Strategic Issues

Etching equipment (or etcher) has high technology barriers due to the complexity and strict requirement of uniformity in the etching process, and etch is a key process in making critical dimensions within a chip. This area is primarily dominated by LAM Research, Tokyo Electron, and Applied Materials. These global leaders offer full etch equipment portfolio ranging from silicon etch (trench, gate, TSV), dielectric etch (Via, Contact, Side wall) and metal etch.

The etching process shapes thin films into certain patterns desired by wafer fabs by using chemicals, reaction gases or ion chemical reaction. In non-EUV, multi-patterning increases lithography and etch/cleaning steps. 14nm requires double-patterning, with KrF 193nm immersion DUV lithography tool, and 7nm requires quadruple-patterning. We see a rising number of etch steps as the process node moves to more advanced nodes, which could drive up etch demand. However, the use of EUV lithography tool in 7nm+ and 5nm could reduce the need for multi-patterning and thus reduce etching steps.

Advanced pulsing technology provides the extremely high materials selectivity, depth control and profile control needed by customers to create densely packed, high-aspect-ratio structures in 3D NAND, DRAM and logic, including FinFETs and emerging gate-all-around architectures.

This report addresses the strategic issues impacting both the user and supplier of plasma etching equipment to the semiconductor industry. Markets for dry etching and stripping are analyzed and projected, and market share presented by each sector.

Sub-100nm Lithography: Market Analysis and Strategic Issues

Lithography tools are essential if chipmakers are to follow their shrinkage roadmap. Intel, Samsung and TSMC have all set out shrinkage roadmaps for 5nm nodes and below, aiming to deliver chips with superior performance at a lower cost.

ASML is the dominant leader in the semiconductor lithography sector, with Nikon and Canon its chief competitors, and the only manufacturer of EUV lithography.

Chipmakers remain committed to their leading-edge roadmap: ASML's main business drivers are chipmakers' leading-edge roadmaps, which detail the timeline for the development of smaller and more complex semiconductors. We believe chipmakers will increasingly use ASML's extreme ultraviolet lithography (EUV) tool in their manufacturing processes. Our research has found that the main buyers of EUV this year and next year will be TSMC, Samsung and, potentially, Intel: all three are still committed to their roadmaps.

Foundry / logic some customers are leveraging EUV to reduce the number of steps for specific layer; however other layers continue to add additional steps. For DRAM, our conversation highlighted that more complex patterning schemes (vs. foundry / logic) should result in EUV adoption targeted at very specific layers that can provide cost reductions. We note that this will be more vendor dependent and based on design schemes.

Thin Film Deposition: Trends, Key Issues, Market Analysis

CVD (Chemical Vapor Deposition) is used to deposit materials in various forms, including monocrystalline, polycrystalline, amorphous, and epitaxial. By subtypes, there are mainly LPCVD (low pressure), PECVD (plasma enhanced), and ALD. PVD deposition techniques include sputtering and eBeam and thermal evaporation.

The CVD process involves mixing the source material with one or more volatile precursors using a plasma to chemically interact and breakdown the source material. The processes use heat with higher pressures leading to a more reproducible film where the film thicknesses could be managed by time/power. These films are more stoichiometric, they are denser and are capable of growing higher quality insulator films. The PVD processing uses a solid precursor metal that is gasified through some electrical energy. The gasified atoms are then transferred to the substrate. These processes manages thicknesses using a quartz crystal rate monitor to control rate and thickness of the film.

ALD films are very conformal approaching 2000:1 aspect ratios, thus providing excellent step coverage over features. The process is repeatable and can grow thinner layers under 10nm thickness predictably. Films include Alumina oxide (AL2O3), Hafnium oxide (HfO2) and Titanium oxide (TiO2). Its use in the semiconductor industry has advanced ALD rapidly in recent years to develop thin, high-K gate dielectric layers.

The PECVD process offers good step coverage over features. Films include Silicon Dioxide (SiO2), Silicon Nitride (Si3N4) and lower stress Oxynitride (SiON) films. The PECVD films offer more flexibility than ALD with higher deposition rates leading to higher throughputs.

This report discusses the technology trends, products, applications, and suppliers of deposition materials and equipment. It also gives insights to suppliers for future user needs and should assist them in long range planning, new product development and product improvement. Market shares and a market forecast for each sector of thin film deposition tools is presented.

Power Semiconductors: Markets, Materials, Technologies

A power semiconductor device is used as a switch (controlling power on or off) or rectifier (converting AC to DC) in power electronics, for example, in frequency conversion home appliance, EVs, EV chargers, welding inverter, industrial robots, etc. As of 2019, Power semiconductors was a US$41bn global market, or c.10% of global semiconductor market size.

Power semiconductors could be divided into two parts: (1) Power discrete and (2) Power IC, with each parts roughly contributing 50% of the power semiconductors market size by revenue. When a power semiconductor device is in the form of integrated circuit, it is called Power IC, otherwise referred to as a power discrete.

Power semiconductors is a US$41bn market globally, and within this market, we are positive on IGBTs and MOSFETs, given the growing market driven by (1) rising energy efficiency requirement in multiple applications such as EV, industrial control, and home appliances, and (2) the rising demand for Chinese suppliers driven by a large domestic market and multiple Chinese brands in home appliances, automobiles and industrial look to diversify their supply chains amid growing trade tensions.

The global IGBT leaders usually cover a full range of applications from consumer electronics, automotive, and industrial controls, to power generation, infrastructure, and railway. Each of these sectors is analyzed in the report.

The global MOSFET leaders usually cover the full range of applications from consumer electronics, automotive, computing, motor driver, power supply, telecom network, EV charging, LED lighting, to medical. Each of these sectors is analyzed in the report.

The rapid growth of the power semiconductor market in recent years has been driven by the proliferation of computer and consumer electronics, such as desktop computers, notebooks, netbooks, smartphones, flat panel displays and portable media players that require sophisticated power management to improve power efficiency and extend battery life.

This report analyzes and forecasts the worldwide markets of power semiconductors by type, geographic region and application. The market by substrate type also focuses on new SiC and GaN materials and fabrication.

Flip Chip/WLP Manufacturing and Market Analysis

Advanced wafer-level packaging technologies hold the key to meeting future technology needs, from mobile devices to automotive applications, to those required for enabling the IoT. Flip chip technology is slowly replacing wire bonding for many high-performance chips. Flip Chip (FC) is not a specific package (like SOIC), or even a package type (like BGA). Flip Chip describes the method of electrically connecting the die to the package carrier whereby the interconnection between the die and carrier is made through a conductive "bump" or copper pillar that is placed directly on the die surface. The bumped die is then "flipped over" and placed face down, with the bumps connecting to the carrier directly.

This technology can be applied on application processor, baseband, PMIC, memory devices, etc. products. For mobile communications, flip chip development is driven by increased device performance and package miniaturization trends, particularly for the CPU or so called applications processor that powers smart phones and media tablets.

To meet the needs of thinner mobile devices, Wafer Level Packages (WLPs) have been developed. They differ from flip-chip packages primarily in that the die is mounted directly on the PCB. The reduced form factor provided by mounting the die directly on the PCB has vaulted WLP to the leading position for smartphones and other products where space is at a premium.

This report analyzes the technology for flip chip technology and WLPs, presenting forecasts for packages by type and application.

Table of Contents

The Global Semiconductor Equipment: Markets, Market Shares, Market Forecasts

Chapter 1 Introduction

Chapter 2 Semiconductor Industry Driving Forces

  • 2.1. Introduction
  • 2.2. Foundries
    • 2.2.1. Foundry Capex Trends
    • 2.2.2. Foundry Revenue Growth
    • 2.2.3. Foundry Market Share
    • 2.2.4. Revenue Growth by Foundry
    • 2.2.5. Global Foundry Market Trend by Application
    • 2.2.6. Global Foundry Market Trend by Customer Type
    • 2.2.7. Global Foundry Market Trend by Device
    • 2.2.8. Foundry Technology Linewidth Roadmap
    • 2.2.9. Capacity trend by linewidth
    • 2.2.10. Capacity ratio by region
  • 2.3. DRAM
    • 2.3.1. Present and Future Capacity of DRAM by Manufacturer
    • 2.3.2. DRAM Revenue Demand Forecast By Application
    • 2.3.3. DRAM Market Shares
    • 2.3.4. DRAM Capex
  • 2.4. NAND
    • 2.4.1. NAND Revenue Demand Forecast By Applications
    • 2.4.2. NAND Market Shares
    • 2.4.3. 3D NAND Capacity
  • 2.5. China
    • 2.5.1. China's Semiconductor Infrastructure
    • 2.5.2. China's Semiconductor Market
    • 2.5.3. China's IC Production
    • 2.5.4. China's IC Consumption
    • 2.5.5. China's IC Production/Consumption Ratio
    • 2.5.6. China's IC Import Requirements
    • 2.5.7. China's IC Supply/Demand
    • 2.5.8. China's IC Fab Capacity
    • 2.5.9. China's IC Fab Capacity by Region
    • 2.5.10. China's 8- And 12-Inch Fabs and Foundries
    • 2.5.11. China's IC Fab Capacity by Wafer Size
    • 2.5.12. China's IC Fab Capacity by Geometry
    • 2.5.13. China's IC Production by Type

Chapter 3 Market Business Sectors Shares and Forecast

  • 3.1. AMHS Market Shares and Forecast
  • 3.2. CMP Market Shares and Forecast
  • 3.3. Clean Market Shares and Forecast
    • 3.3.1. Plasma Strip
    • 3.3.2. Spray Processors
    • 3.3.3. Wet Stations
  • 3.4. Deposition Market Shares and Forecast
    • 3.4.1. ALD
    • 3.4.2. Epitaxy
    • 3.4.3. MOCVD
    • 3.4.4. LPCVD (non-tube)
    • 3.4.5. LPCVD (tube)
    • 3.4.6. PECVD
    • 3.4.7. PVD
  • 3.5. Ion Implantation Market Shares and Forecast
    • 3.5.1. High-Current
    • 3.5.2. High-Energy
    • 3.5.3. Medium-Current
  • 3.6. Lithography Market Shares and Forecast
    • 3.6.1. Direct-Write E-Beam Lithography
    • 3.6.2. Mask-Making Lithography
    • 3.6.3. Steppers
  • 3.7. Oxidation/Diffusion Market Shares and Forecast
  • 3.8. Photoresist Processing (Track) Market Shares and Forecast
  • 3.9. RTP Market Shares and Forecast
  • 3.10. Plasma Etch Market Shares and Forecast
    • 3.10.1. Dielectric
    • 3.10.2. Conductive
  • 3.11. Process Control Market Shares and Forecast
    • 3.11.1. Lithography Metrology
    • 3.11.2. Thin-Film Metrology
    • 3.11.3. Wafer Inspection and Defect Review
  • 3.12. Wafer-Level Packaging Market Shares and Forecast

Chapter 4 U.S. Semiconductor Equipment Suppliers - Profiles

  • 4.1. Applied Materials
    • 4.1.1. Business Sectors Covered In The Market Analysis Chapter
    • 4.1.2. Company Profile
    • 4.1.3. Company Financials
  • 4.2. Axcelis Technologies
    • 4.1.2. Business Sectors Covered In The Market Analysis Chapter
    • 4.2.2. Company Profile
    • 4.2.3. Company Financials
  • 4.3. KLA-Tencor
    • 4.3.1. Business Sectors Covered In The Market Analysis Chapter
    • 4.3.2. Company Profile
    • 4.3.3. Company Financials
  • 4.4. Lam Research
    • 4.4.1. Business Sectors Covered In The Market Analysis Chapter
    • 4.4.2. Company Profile
    • 4.4.3. Company Financials
  • 4.5. Nanometrics
    • 4.5.1. Business Sectors Covered In The Market Analysis Chapter
    • 4.5.2. Company Profile
    • 4.5.3. Company Financials
  • 4.6. Rudolph Technologies
    • 4.6.1. Business Sectors Covered In The Market Analysis Chapter
    • 4.6.2. Company Profile
    • 4.6.3. Company Financials
  • 4.7. Veeco
    • 4.7.1. Business Sectors Covered In The Market Analysis Chapter
    • 4.7.2. Company Profile
    • 4.7.3. Company Financials

Chapter 5 European Semiconductor Equipment Suppliers - Profiles

  • 5.1. Aixtron
    • 5.1.1. Business Sectors Covered In The Market Analysis Chapter
    • 5.1.2. Company Profile
    • 5.1.3. Company Financials
  • 5.2. ASM International
    • 5.2.1. Business Sectors Covered In The Market Analysis Chapter
    • 5.2.2. Company Profile
    • 5.2.3. Company Financials
  • 5.3. ASML
    • 5.3.1. Business Sectors Covered In The Market Analysis Chapter
    • 5.3.2. Company Profile
    • 5.3.3. Company Financials
  • 5.4. Carl Zeiss
    • 5.4.1. Business Sectors Covered In The Market Analysis Chapter
    • 5.4.2. Company Profile
    • 5.4.3. Company Financials
  • 5.5. Camtek
    • 5.5.1. Business Sectors Covered In The Market Analysis Chapter
    • 5.5.2. Company Profile
    • 5.5.3. Company Financials
  • 5.6. EV Group
    • 5.6.1. Business Sectors Covered In The Market Analysis Chapter
    • 5.6.2. Company Profile
    • 5.6.3. Company Financials
  • 5.7. LPE
    • 5.7.1. Business Sectors Covered In The Market Analysis Chapter
    • 5.7.2. Company Profile
    • 5.7.3. Company Financials
  • 5.8. Mycronic
    • 5.8.1. Business Sectors Covered In The Market Analysis Chapter
    • 5.8.2. Company Profile
    • 5.8.3. Company Financials
  • 5.9. Nova Measuring Instruments
    • 5.9.1. Business Sectors Covered In The Market Analysis Chapter
    • 5.9.2. Company Profile
    • 5.9.3. Company Financials
  • 5.10. Oerlikon / Evatec
    • 5.10.1. Business Sectors Covered In The Market Analysis Chapter
    • 5.10.2. Company Profile
    • 5.10.3. Company Financials
  • 5.11. Semilab
    • 5.11.1. Business Sectors Covered In The Market Analysis Chapter
    • 5.11.2. Company Profile
    • 5.11.3. Company Financials

Chapter 6 Asian Semiconductor Equipment Suppliers - Profiles

  • 6.1. Advantest
    • 6.1.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.1.2. Company Profile
    • 6.1.3. Company Financials
  • 6.2. Canon
    • 6.2.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.2.2. Company Profile
    • 6.2.3. Company Financials
  • 6.3. Canon Anelva
    • 6.3.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.3.2. Company Profile
    • 6.3.3. Company Financials
  • 6.4. Daifuku
    • 6.4.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.4.2. Company Profile
    • 6.4.3. Company Financials
  • 6.5. Ebara
    • 6.5.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.5.2. Company Profile
    • 6.5.3. Company Financials
  • 6.6. Eugene Technology
    • 6.6.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.6.2. Company Profile
    • 6.6.3. Company Financials
  • 6.7. Hitachi High-Technologies
    • 6.7.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.7.2. Company Profile
    • 6.7.3. Company Financials
  • 6.8. Hitachi Kokusai Electric
    • 6.8.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.8.2. Company Profile
    • 6.8.3. Company Financials
  • 6.9. JEOL
    • 6.9.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.9.2. Company Profile
    • 6.9.3. Company Financials
  • 6.10. Jusung Engineering
    • 6.10.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.10.2. Company Profile
    • 6.10.3. Company Financials
  • 6.11. KC Tech
    • 6.11.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.11.2. Company Profile
    • 6.11.3. Company Financials
  • 6.12. Lasertec
    • 6.12.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.12.2. Company Profile
    • 6.12.3. Company Financials
  • 6.13. Murata Machinery
    • 6.13.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.13.2. Company Profile
    • 6.13.3. Company Financials
  • 6.14. Nikon
    • 6.14.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.14.2. Company Profile
    • 6.14.3. Company Financials
  • 6.15. Nippon Sanso
    • 6.15.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.15.2. Company Profile
    • 6.15.3. Company Financials
  • 6.16. Nissin Ion Equipment
    • 6.16.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.16.2. Company Profile
    • 6.16.3. Company Financials
  • 6.17. NuFlare Technology
    • 6.17.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.17.2. Company Profile
    • 6.17.3. Company Financials
  • 6.18. PSK
    • 6.18.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.18.2. Company Profile
    • 6.18.3. Company Financials
  • 6.19. Screen Semiconductor Solutions
    • 6.19.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.19.2. Company Profile
    • 6.19.3. Company Financials
  • 6.20. SEMES
    • 6.20.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.20.2. Company Profile
    • 6.20.3. Company Financials
  • 6.21. SEN
    • 6.21.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.21.2. Company Profile
    • 6.21.3. Company Financials
  • 6.22. TES
    • 6.22.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.22.2. Company Profile
    • 6.22.3. Company Financials
  • 6.23. Tokyo Electron
    • 6.23.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.23.2. Company Profile
    • 6.23.3. Company Financials
  • 6.24. Tokyo Seimitsu
    • 6.24.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.24.2. Company Profile
    • 6.24.3. Company Financials
  • 6.25. Topcon Technohouse
    • 6.25.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.25.2. Company Profile
    • 6.25.3. Company Financials
  • 6.26. Toray Engineering
    • 6.26.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.26.2. Company Profile
    • 6.26.3. Company Financials
  • 6.27. Ulvac
    • 6.27.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.27.2. Company Profile
    • 6.27.3. Company Financials
  • 6.28. Ushio
    • 6.28.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.28.2. Company Profile
    • 6.28.3. Company Financials
  • 6.29. Wonik IPS
    • 6.29.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.29.2. Company Profile
    • 6.29.3. Company Financials

LIST OF TABLES

  • 2.1. Foundry Capex ($ Billions) And Shares (%)
  • 2.2. Foundry Forecast
  • 2.3. Pure Play Foundry Revenue Shares
  • 2.4. Global Foundry Market By Application
  • 2.5. Global Foundry Market By Customer Type
  • 2.6. Global Foundry Market By Device Type
  • 2.7. Technology Roadmaps For China Foundries
  • 2.8. Global Foundry Market By Linewidth
  • 2.9. Foundry Capacity By Region
  • 2.10. DRAM Wafer Capacity Forecast by Fab - Wafers
  • 2.11. DRAM Bit Growth Demand Forecast by Application
  • 2.12. DRAM Revenue Demand Forecast by Application
  • 2.13. DRAM Market Shares
  • 2.14. DRAM Capex Forecast by Company
  • 2.15. NAND Wafer Capacity by Company Fab
  • 2.16. NAND Bit Growth Supply Forecast by Company
  • 2.17. NAND Bit Growth Demand Forecast by Application
  • 2.18. NAND Market Shares
  • 2.19. 3D NAND Wafer Capacity Forecast by Fab
  • 2-20. Capex Forecast By Memory And Other Ic Manufacturers
  • 2-21. China's 8- And 12-Inch Fabs and Foundries
  • 3.1. AMHS Market Forecast
  • 3.2. CMP Market Forecast
  • 3.3. Plasma Strip Market Forecast
  • 3.4. Spray Processors Market Forecast
  • 3.5. Wet Stations Market Forecast
  • 3.6. ALD Market Forecast
  • 3.7. Epitaxy Market Forecast
  • 3.8. MOCVD Market Forecast
  • 3.9. LPCVD (non-tube) Market Forecast
  • 3.10. LPCVD (tube) Market Forecast
  • 3.11. PECVD Market Forecast
  • 3.12. PVD Market Forecast
  • 3.13. High-Current Ion Implantation Market Forecast
  • 3.14. High-Voltage Ion Implantation Market Forecast
  • 3.15. Medium-Current Ion Implantation Market Forecast
  • 3.16. Direct-Write E-Beam Lithography Market Forecast
  • 3.17. Mask-Making Lithography Market Forecast
  • 3.18. Steppers Market Forecast
  • 3.19. Oxidation Market Forecast
  • 3.20. Photoresist Processing (Track) Market Forecast
  • 3.21. RTP Market Forecast
  • 3.22. Dielectric Etch Market Forecast
  • 3.23. Conductive Etch Market Forecast
  • 3.24. Lithography Metrology Market Forecast
  • 3.25. Thin-Film Metrology Market Forecast
  • 3.26. Wafer Inspection and Defect Review Market Forecast
  • 3.27. Wafer-Level Packaging Market Forecast

LIST OF FIGURES

  • 2.1. China's IC Production Forecast
  • 2.2. China's IC Consumption
  • 2.3. China's IC Production/Consumption Ratio
  • 2.4. China's IC Import Requirements
  • 2.5. China's IC Supply/Demand
  • 2.6. China's IC Fab Capacity
  • 2.7. China's IC Fab Capacity by Region
  • 2.8. China's IC Fab Capacity by Wafer Size
  • 2.9. China's IC Fab Capacity by Geometry
  • 2.10. China's IC Production by Type
  • 3.1. AMHS Market Shares
  • 3.2. CMP Market Shares
  • 3.3. Plasma Strip Market Shares
  • 3.4. Spray Processors Market Shares
  • 3.5. Wet Stations Market Shares
  • 3.6. ALD Market Shares
  • 3.7. Epitaxy Market Shares
  • 3.8. MOCVD Market Shares
  • 3.9. LPCVD (non-tube) Market Shares
  • 3.10. LPCVD (tube) Market Shares
  • 3.11. PECVD Market Shares
  • 3.12. PVD Market Shares
  • 3.13. High-Current Ion Implantation Market Shares
  • 3.14. High-Voltage Ion Implantation Market Shares
  • 3.15. Medium-Current Ion Implantation Market Shares
  • 3.16. Direct-Write E-Beam Lithography Market Shares
  • 3.17. Mask-Making Lithography Market Shares
  • 3.18. Steppers Market Shares
  • 3.19. Oxidation Market Shares
  • 3.20. Photoresist Processing (Track) Market Shares
  • 3.21. RTP Market Shares
  • 3.22. Dielectric Etch Market Shares
  • 3.23. Conductive Etch Market Shares
  • 3.24. Lithography Metrology Market Shares
  • 3.25. Thin-Film Metrology Market Shares
  • 3.26. Wafer Inspection and Defect Review Market Shares
  • 3.27. Wafer-Level Packaging Market Shares

Hot ICs: A Market Analysis of Artificial Intelligence (AI), 5G, CMOS Image Sensors, and Memory Chips

1.0. Executive Summary

2.0. CMOS Image Sensors (CIS)

  • 2.1. CMOS Sensors Technology and Trends
  • 2.2. Applications
    • 2.2.1. Automotive/Advanced Driver Assistance Systems (ADAS)
    • 2.2.2. Smartphones
    • 2.2.3. Others
  • 2.3. Market Analysis
    • 2.3.1. Introduction
    • 2.3.2. Automotive
    • 2.3.3. Smartphones
    • 2.3.4. Others
  • 2.4. CMOS Image Sensor Supplier Products and Profiles
    • Canon
    • Galaxycore
    • Hanamatsu
    • LG Electronics
    • Newsight Imaging
    • ON Semiconductor
    • Omnivision
    • Pixelplus
    • Samsung Electronics
    • SK Hynix
    • Sharp
    • Sony
    • TowerJazz Panasonic

3.0. 5G

  • 3.1. 5G Chip Technology and Trends
  • 3.2. Applications
    • 3.2.1. Mobile Handsets
    • 3.2.2. Interdisciplinary Connections
  • 3.3. Market Analysis
    • 3.3.1. Market Forecasts
    • 3.3.2. New Components For 5G vs 4G
      • 3.3.2.1 5G Modem Chip Overview
      • 3.3.3.2 5G Chip
      • 3.3.2.3 mmWave Modules
      • 3.3.2.4 Traditional MIMO Antennas
    • 3.3.3. China Mobile Carriers
    • 3.3.4. Japan Mobile Carriers
    • 3.3.5. U.S. Mobile Carriers
  • 3.4. 5G Chip Supplier Products and Profiles
    • Analog Devices
    • Anokiware
    • Apple
    • Broadcom
    • Huawei
    • Infineon
    • Intel
    • Inphi
    • Microchip
    • MediaTek
    • Marvell
    • M/A-Com
    • NXP Semiconductor
    • On Semiconductor
    • Qualcomm
    • Qorvo
    • Samsung Electronics
    • Sivers IMA
    • Skyworks Solutions
    • STMicroelectronics
    • Teradyne
    • Texas Instruments
    • Win Semiconductors
    • Xilinx

4.0. Artificial Intelligence (AI)

  • 4.1. AI Technology and Trends
    • 4.1.1. Cloud AI Computing
    • 4.1.2. Edge AI Computing
  • 4.2. Applications
    • 4.2.1. Industry Applications of AI
      • 4.2.1.1 Smart Healthcare
      • 4.2.1.2 Smart Security
      • 4.2.1.3 Smart Finance
      • 4.2.1.4 Smart Grid
      • 4.2.1.5 Smart Hone
    • 4.2.2. AI-Powered Devices
      • 4.2.2.1 Smart Speakers
      • 4.2.1.2 Drones
      • 4.2.1.3 Intelligent Robots
  • 4.3. Market Analysis
    • 4.3.1. Introduction
    • 4.3.2. China's AI Plan
      • 4.3.2.1 Driverless Vehicles
      • 4.3.2.2 Computer Chips
      • 4.3.2.3 Financial
      • 4.3.2.4 Facial Recognition
      • 4.3.2.5 Retail
      • 4.3.2.6 Robots
    • 4.3.3. AI Chip Revenue Forecast
  • 4.4. AI Chip Technology
    • 4.4.1. Graphics Processing Unit (GPU)
    • 4.4.2. Field Programmable Gate Array (FPGA)
    • 4.4.3. Application Specific Integrated Circuits (ASIC)
    • 4.4.4. Neuromorphic Chips
  • 4.5. AI Chip Supplier Products and Profiles
    • 4.5.1. IC Vendors
      • AMD
      • HiSilicon
      • IBM
      • Intel
      • MediaTek
      • Nvidia
      • NXP
      • Qualcomm
      • Rockchip
      • Samsung Electronics
      • STMicroelectronics
      • Xilinx
    • 4.5.2. Cloud Providers - Tech Leaders
      • Alibaba
      • Alibaba Cloud
      • Amazon
      • Apple
      • Baidu
      • Facebook
      • Fujitsu
      • Google
      • Huawei Cloud
      • Microsoft
      • Nokia
      • Tencent Cloud
      • Tesla
    • 4.5.3. IP Vendors
      • ARM
      • CEVA
      • Imagination
      • VeriSilicon
      • Videantis
    • 4.5.4. Startups Worldwide
      • Adapteva
      • aiCTX
      • AImotive
      • AlphaICs
      • BrainChip
      • Cerebras Systems
      • Cornami
      • DeepScale
      • Esperanto Technologies
      • Graphcore
      • GreenWaves Technology
      • KAIST
      • Kalray
      • Kneron
      • Knowm
      • Koniku
      • Mythic
      • SambaNova Systems
      • Videantis
      • Wave Computing
    • 4.5.5. Startups in China
      • AISpeech
      • Bitmain
      • Cambricon
      • Chipintelli
      • DeePhi Tech
      • Horizon Robotics
      • NextVPU
      • Rokid
      • Thinkforce
      • Unisound

5.0. Memory Chips

  • 5.1. Memory Technology and Trends
    • 5.1.1. DRAM
    • 5.1.2. NAND
    • 5.1.3. NVRAM - MRAM, RRAM, and FERAM
  • 5.2. Applications
    • 5.2.1. DRAM
      • 5.2.1.1 Server
      • 5.2.1.2 PC
      • 5.2.1.3 Graphics
      • 5.2.1.4 Mobile
      • 5.2.1.5 Consumer
    • 5.2.2. NAND
      • 5.2.2.1 SSD
      • 5.2.2.2 PC
      • 5.2.2.3 TV
      • 5.2.2.4 Mobile
      • 5.2.2.5 USB
  • 5.3. Market Analysis
  • 5.4. Memory Chip Supplier Products and Profiles
    • CXMT
    • Fujian
    • GigaDevice Semiconductor
    • Intel
    • Micron Technology
    • Nanya
    • Powerchip Technology
    • Samsung Electronics
    • SK Hynix
    • Toshiba (Kloxia)
    • Tsinghua Chongqing
    • Western Digital
    • Winbond
    • YMTC

LIST OF FIGURES

  • 2.1. Five Levels of Autonomous Driving
  • 2.2. Average Semiconductor Content Per Car by Level of Automation
  • 2.3.. Automotive Imaging Segments
  • 2.4. Market Shares of CMOS Image Sensor Manufacturers
  • 2.5. Semiconductor Market Forecast for ADAS
  • 2.6. IC Content Used in ADAS Systems - 2015
  • 2.7. Market Shares of Automotive CMOS Image Sensor Manufacturers
  • 2.8. CMOS Image Sensor Market Forecast For Smartphones
  • 2.9. CMOS Image Sensor Market Forecast For Other Applications
  • 3.1. RF Chip Market Forecast by Network Generation
  • 4.1. Performance Comparison of TPU, GPU, and CUP
  • 4.2. AI Training and Inference
  • 4.3. AI Training and Inference Chip Forecast - Revenue
  • 4.4. AI Training and Inference Chip Forecast by Type - Units
  • 5.1. DRAM Scaling
  • 5.2. DRAM Memory Shrink Roadmap
  • 5.3. Quadruple Patterning Technology
  • 5.4. Ultra-Thin Dielectric Layer Deposition
  • 5.5. Proprietary Circuit Design Technology
  • 5.6. 3D-NAND Memory Shrink Roadmap
  • 5.7. 3D-NAND Generation by Manufacturer
  • 5.8. Transition from SLC to QLC NAND
  • 5.9. Comparison Of Non-Volatile Ram Write Times
  • 5.10. Competition In Dissipation Speed And Memory Capacity
  • 5.11. Comparison Of Non-Volatile RAM Technology
  • 5.12. Total Available Market for SST MRAM
  • 5.13. Total Available Market for Toggle MRAM

LIST OF TABLES

  • 2.1. Levels of Autonomous Driving
  • 2.2. Market Forecast of CMOS Image Sensors by Application
  • 2.3. Aotomotive Image Sensor Market by Application
  • 2.4. Forecast of ADAS Market by Systems
  • 3.1. Feature Comparison of Smartphone Power Amplifiers by Generations
  • 3.2. Smartphone Subscription Forecast by Geographic Region
  • 3.3. Smartphone Subscription Forecast by Technology
  • 3.4. 5G Smartphone Subscription Forecast by Geographic Region
  • 3.5. 5G Smartphone Plans by Geographic Region
  • 3.6. 5G Smartphone Mobile Semiconductor Forecast
  • 3.7. 5G Semiconductor Total Available Market Forecast. 3.
  • 3.8. 5G Smartphone Shipment By Major OEMs
  • 3.9. 5G Smartphone Shipment By Country
  • 3.10. Incremental Cost For 5G Phone Vs 4G
  • 3.11. Top Wireless Carriers
  • 4.1. Smart Speaker Products
  • 4.2. AI Training and Inference Chip Forecast
  • 4.3. AI Chip Forecast by Chip Type
  • 4.4. Characteristics of CPU, FPGA, CPU, and ASIC
  • 4.5. Characteristics of CPU, FPGA, CPU, and ASIC
  • 5.1. DRAM Bit Growth Supply Forecast by Company
  • 5.2. DRAM Bit Growth Demand Forecast by Application
  • 5.3. DRAM Wafer Capacity Forecast by Fab - Wafers
  • 5.4. DRAM Market Shares
  • 5.5. DRAM Capex Forecast by Company
  • 5.6. NAND Bit Growth Supply Forecast by Company
  • 5.7. NAND Bit Growth Demand Forecast by Application
  • 5.8. NAND Wafer Capacity by Company Fab
  • 5.9. 3D NAND Wafer Capacity Forecast by Fab
  • 5.10. NAND Capex Forecast by Company
  • 5.11. DRAM Content of Chinese Smartphones
  • 5.12. NAND Content of Chinese Smartphones
GII ID 4967

Applied Materials: Competitive Analysis of Served Markets

Chapter 1. Introduction

Chapter 2. Applied's Strategies

  • 2.1. Market Strategies
    • 2.1.1. Driving Demand for Processing Equipment
    • 2.1.2. A Global Presence
    • 2.1.3. Meeting Customer Needs
    • 2.1.4. New CEO's Strategy
  • 2.2. Business Strategies. 2.
    • 2.2.1. Silicon Systems Group
    • 2.2.2. Applied Global Services
    • 2.2.3. Display
    • 2.2.4. Energy and Environmental Solutions
  • 2.3. Technology Strategies
  • 2.4. Product Strategies
  • 2.5. Acquisition Strategies
  • 2.6. Legal Strategies
  • 2.7. Financial Analysis

Chapter 3. Market Forecast

  • 3.1. Market Drivers
    • 3.1.1. Semiconductor Market
    • 3.1.2. Technical Trends
    • 3.1.3. Economic Trends
  • 3.2. Applied Materials - Global Market Leader Until 2019
  • 3.3. Market Size and Market Shares
    • 3.3.1. Chemical Vapor Deposition
    • 3.3.2. Physical Vapor Deposition
    • 3.3.3. Dry Etch
    • 3.3.4. Rapid Thermal Processing/Oxidation/Diffusion
    • 3.3.5. Silicon Epitaxy
    • 3.3.6. Chemical Mechanical Planarization
    • 3.3.7. Metrology and Inspection
    • 3.3.8. Ion Implantation

Chapter 4. Competitive Environment

  • 4.1. Introduction
  • 4.2. Lam Research
    • 4.2.1. Strategies
    • 4.2.2. Products
    • 4.2.3. Financial Analysis
  • 4.3. Tokyo Electron Limited
    • 4.3.1. Strategies
    • 4.3.2. Products
    • 4.3.3. Financial Analysis
  • 4.4. KLA
    • 4.4.1. Strategies
    • 4.4.2. Products
    • 4.4.3. Financial Analysis
  • 4.5. ASM International
    • 4.5.1. Strategies
    • 4.5.2. Products
    • 4.5.3. Financial Analysis
  • 4.6. Axcelis
    • 4.6.1. Strategies
    • 4.6.2. Products
    • 4.6.3. Financial Analysis
  • 4.7. Canon Anelva
    • 4.7.1. Business Sectors Covered In The Market Analysis Chapter
    • 4.7.2. Company Profile
    • 4.7.3. Company Financials
  • 4.8. Ebara
    • 4.8.1. Business Sectors Covered In The Market Analysis Chapter
    • 4.8.2. Company Profile
    • 4.8.3. Company Financials
  • 4.9. Eugene Technology
    • 4.9.1. Business Sectors Covered In The Market Analysis Chapter
    • 4.9.2. Company Profile
    • 4.9.3. Company Financials
  • 4.10. Hitachi High-Technologies
    • 4.10.1. Business Sectors Covered In The Market Analysis Chapter
    • 4.10.2. Company Profile
    • 4.10.3. Company Financials
  • 4.11. Hitachi Kokusai Electric
    • 4.11.1. Business Sectors Covered In The Market Analysis Chapter
    • 4.11.2. Company Profile
    • 4.11.3. Company Financials
  • 4.12. Jusung Engineering
    • 4.12.1. Business Sectors Covered In The Market Analysis Chapter
    • 4.12.2. Company Profile
    • 4.12.3. Company Financials
  • 4.13. KC Tech
    • 4.13.1. Business Sectors Covered In The Market Analysis Chapter
    • 4.13.2. Company Profile
    • 4.13.3. Company Financials
  • 4.14. Lasertec
    • 4.14.1. Business Sectors Covered In The Market Analysis Chapter
    • 4.14.2. Company Profile
    • 4.14.3. Company Financials
  • 4.15. Nissin Ion Equipment
    • 4.15.1. Business Sectors Covered In The Market Analysis Chapter
    • 4.15.2. Company Profile
    • 4.15.3. Company Financials
  • 4.16. NuFlare Technology
    • 4.16.1. Business Sectors Covered In The Market Analysis Chapter
    • 4.16.2. Company Profile
    • 4.16.3. Company Financials
  • 4.17. Screen Semiconductor Solutions
    • 4.17.1. Business Sectors Covered In The Market Analysis Chapter
    • 4.17.2. Company Profile
    • 4.17.3. Company Financials
  • 4.18. SEMES
    • 4.18.1. Business Sectors Covered In The Market Analysis Chapter
    • 4.18.2. Company Profile
    • 4.18.3. Company Financials
  • 4.19. SEN
    • 4.19.1. Business Sectors Covered In The Market Analysis Chapter
    • 4.19.2. Company Profile
    • 4.19.3. Company Financials
  • 4.20. TES
    • 4.20.1. Business Sectors Covered In The Market Analysis Chapter
    • 4.20.2. Company Profile
    • 4.20.3. Company Financials
  • 4.21. Ulvac
    • 4.21.1. Business Sectors Covered In The Market Analysis Chapter
    • 4.21.2. Company Profile
    • 4.21.3. Company Financials
  • 4.22. Wonik IPS
    • 4.22.1. Business Sectors Covered In The Market Analysis Chapter
    • 4.22.2. Company Profile
    • 4.22.3. Company Financials

LIST OF TABLES

  • 3.1. Worldwide Capital Spending
  • 3.2. Wafer Front End (WFE) Market By Device Type
  • 3.3. Top Semiconductor Equipment Revenues
  • 3.4. Worldwide CVD Market Forecast
  • 3.5. Worldwide CVD Market Shares
  • 3.6. Worldwide PVD Market Forecast
  • 3.7. Worldwide PVD Market Shares
  • 3.8. Worldwide Dry Etch Market Forecast
  • 3.9. Worldwide Dry Etch Market Shares
  • 3.10. Worldwide RTP Market Forecast
  • 3.11. Worldwide RTP Market Shares
  • 3.12. Worldwide Silicon Epitaxy Market Forecast
  • 3.13. Worldwide Silicon Epitaxy Market Shares
  • 3.14. Worldwide CMP Market Forecast
  • 3.15. Worldwide CMP Market Shares
  • 3.16. Worldwide M&I Market Forecast
  • 3.17. Worldwide M&I Market Shares
  • 3.18. Worldwide Ion Implantation Market Forecast
  • 3.19. Worldwide Ion Implantation Market Shares

LIST OF FIGURES

  • 3.1. Semiconductor vs Equipment vs GDP
  • 3.2. Recession Cycle
  • 3.3. Semiconductor Equipment Share
  • 3.4. Worldwide CVD Market Shares
  • 3.5. Worldwide PVD Market Shares
  • 3.6. Worldwide Dry Etch Market Shares
  • 3.7. Worldwide RTP/Oxidation/Diffusion Market Shares
  • 3.8. Worldwide Silicon Epitaxy Market Shares
  • 3.9. Worldwide CMP Market Shares
  • 3.10. Worldwide M&I Market Shares
  • 3.11. Worldwide Ion Implantation Market Shares

CMP Equipment and Consumables: Market Analysis and Forecasts

Chapter 1. Introduction

Chapter 2. Executive Summary

  • 2.1. Introduction
  • 2.2. Market Opportunities

Chapter 3. Planarization Methods

  • 3.1. Need for Planarity
    • 3.1.1. Lithography
    • 3.1.2. Deposition
    • 3.1.3. Etching
  • 3.2. Applications
    • 3.2.1. Dielectrics
    • 3.2.2. Metals
  • 3.3. Planarization Techniques
    • 3.3.1. Local Planarization
      • 3.3.1.1. Deposition-Etchback
      • 3.3.1.2. ECR
      • 3.3.1.3. Oxide Reflow
      • 3.3.1.4. Spin-on-Glass
      • 3.3.1.5. TEOS-Ozone
      • 3.3.1.6. Laser
    • 3.3.2. Global Planarization
      • 3.3.2.1. Spin-On Polymer
      • 3.3.2.2. Polyimide Coating
      • 3.3.2.3. Isotropic Etch
      • 3.3.2.4. Spin Etch Planarization
      • 3.3.2.5. Electropolishing
  • 3.4. CMP
    • 3.4.1. Background
    • 3.4.2. Research Efforts
    • 3.4.3. Advantages and Disadvantages
    • 3.4.4. Process Parameters
      • 3.4.4.1. STI Planarization
      • 3.4.4.2. Copper CMP
      • 3.4.4.3. Low-K Integration
      • 3.4.4.4. Defect Density
      • 3.4.4.5. Metrology
    • 3.4.5. Device Processing Parameters
      • 3.4.5.1. Memory Devices
      • 3.4.5.2. Logic Devices

Chapter 4. CMP Consumables

  • 4.1. Slurries
    • 4.1.1. Types
    • 4.1.2. pH Effects
    • 4.1.3. Oxidizers
    • 4.1.4. Particle Morphology Effects
    • 4.1.5. Chemical Distribution Management
    • 4.1.6. Slurry Supplier Profiles
    • 4.1.7. Abrasive Suppliers
  • 4.2. Post-CMP Clean
  • 4.3. Polishing Pads
    • 4.3.1. Types
    • 4.3.2. Performance
    • 4.3.3. Slurryless Pads

Chapter 5. CMP Equipment

  • 5.1. Single-Head Approach
    • 5.1.1. Advantages
    • 5.1.2. Disadvantages
  • 5.2. Multi-Head Approach
    • 5.2.1. Advantages
    • 5.2.2. Disadvantages
  • 5.3. Equipment Profiles
    • 5.3.1. Applied Materials
    • 5.3.2. Ebara
    • 5.3.3. KC Tech
    • 5.3.4. Accretech
    • 5.3.5. Revasum

Chapter 6. User Issues

  • 6.1. Cost of Ownership
  • 6.2. User Requirements
  • 6.3. Benchmarking a Vendor
    • 6.3.1. Pricing
    • 6.3.2. Vendor Commitment and Attitudes
    • 6.3.3. Vendor Capabilities
    • 6.3.4. System Capabilities
  • 6.4. User-Supplier Synergy
    • 6.4.1. Feedback During Equipment Evaluation
    • 6.4.2. Feedback During Device Production
  • 6.5. Reliability
  • 6.6. Equipment Maintainability

Chapter 7. Market Forecast

  • 7.1. Introduction
  • 7.2. Market Forecast Assumptions
  • 7.3. Equipment Market
    • 7.3.1. Introduction
    • 7.3.2. CMP Polisher Market
  • 7.4. Consumable Market
    • 7.4.1. Slurry
    • 7.4.2. Pads

LIST OF FIGURES

  • 1.1. Process Integration for CMP
  • 3.1. Levels of Integration of Dynamic Rams
  • 3.2. Planarization Lengths of Various Methods
  • 3.3. Normalized Removal Rates
  • 3.4. Reduced Complexity With Copper
  • 3.5. Copper Loss From CMP
  • 3.6. CMP Copper Process Technologies
  • 3.7. CMP Performance Improvements
  • 3.8. Polish Endpoint Control
  • 3.9. CMP Steps in 2D NAND to 3D NAND Transition
  • 3.10. CMP Steps per Node Transition for Advanced Logic Devices
  • 4.1. Effect of Nitrate Ions on the Cu Removal Rate
  • 4.2. Removal Rate of Ta
  • 4.3. Bulk Chemical Distribution System
  • 4.4. Through The Brush Chemical Delivery
  • 4.5. Megasonics Post-CMP Clean
  • 4.6. Micrograph Of 3M Slurryless Pad
  • 6.1. Effect of Tool MTBF on CMP Cost
  • 6.2. Removal Rate Vs Throughput and CMP Cost
  • 7.1. Worldwide CMP Polisher Market
  • 7.2. Worldwide CMP Slurry Market Forecast
  • 7.3. CMP Slurry Market by Application
  • 7.4. ILD Slurry Market Share
  • 7.5. STI Slurry Market Share
  • 7.6. Copper Barrier Slurry Market Share
  • 7.7. Copper Step 1 Slurry Market Share
  • 7.8. Worldwide CMP Pad Market Forecast
  • 7.9. CMP PAD Market Shares

LIST OF TABLES

  • 3.1. Interconnect Levels of Logic Device
  • 3.2. Typical Process Specifications
  • 3.3. Organic Polymers for IMD Applications
  • 3.4. CMP Process Variables
  • 3.5. Optimized CMP and Post-CMP Clean Parameters
  • 3.6. Interconnect Materials by Segment
  • 4.1. CMP Slurry Suppliers
  • 4.2. Abrasive Suppliers and Products
  • 4.3. Oxide CMP Pad Properties and Performance
  • 6.1. Polisher Equipment Targets
  • 6.2. Post-CMP Clean Equipment Targets
  • 7.1. Worldwide CMP Polisher Market Forecast
  • 7.2. Worldwide CMP Polisher Market Shares
  • 7.3. Worldwide CMP Slurry Market Forecast
  • 7.4. Worldwide Slurry Market Shares
  • 7.5. Worldwide CMP Pad Market Forecast

Mainland China's Semiconductor and Equipment Markets: Analysis and Manufacturing Trends

Chapter 1. Introduction

  • 1.1. Introduction

Chapter 2. Semiconductor Industry

  • 2.1. Introduction
  • 2.2. China's Domestic Semiconductor Market
  • 2.3. China's Semiconductor Infrastructure
  • 2.4. Government Goals
  • 2.5. Semiconductor Manufacturers In China
    • 2.5.1. Wuxi China Resources Huajing Microelectronics
    • 2.5.2. CSMC Technologies
    • 2.5.3. Shanghai Huahong Grace Semiconductor Manufacturing Corporation (HHGRACE)
    • 2.5.4. Hangzhou Youwang Electronics
    • 2.5.5. Huayue Microelectronics
    • 2.5.6. Semiconductor Manufacturing Int'l (SMIC)
    • 2.5.7. Advanced Semiconductor Manufacturing (ASMC)
    • 2.5.8. Fairchild Semiconductor
    • 2.5.9. Shanghai Belling
    • 2.5.10. SK Hynix
    • 2.5.11. Toshiba Semiconductor (Wuxi)
    • 2.5.12. HeJian Technology
    • 2.5.13. TSMC
    • 2.5.14. Ningbo BYD Semiconductor
    • 2.5.15. SIM-BCD
    • 2.5.16. Intel
    • 2.5.17. Samsung
    • 2.5.18. Datung NXP Semiconductors
    • 2.5.19. UMC
    • 2.5.20. XMC
    • 2.5.21. Shanghai Huali Microelectronics Corporation
    • 2.5.22. GlobalFoundries
  • 2.6. Back-End Manufacturing
  • 2.7. Printed Circuit Board (PCB) Manufacturing
  • 2.8. Microelectronics Industry Equipment
  • 2.9. IC Design
  • 2.10. Electronics Components
  • 2.11. Role Of Foreign Companies
  • 2.12. IC Industry Assessment

Chapter 3. Market Analysis

  • 3.1. Economic Recovery In Asia
  • 3.2. Semiconductor Market
    • 3.2.1. Investment Strategies
    • 3.2.2. China's Semiconductor Outlook And Made-In-China 2025
    • 3.2.3. China Semiconductor Policy Development
    • 3.2.4. Progress On Government Investment In The Semiconductor Industry
    • 3.2.5. IC Industry Status
    • 3.2.6. China IC Fab Capacity
    • 3.2.7. Huawei's 2019 Targeted Financials
    • 3.2.8. Global Memory Market
    • 3.2.9. Chinese Memory Market
    • 3.2.10. SMIC China's Leading Foundry
    • 3.2.11. SMIC Capabilities Compared To TSMC
  • 3.3. Semiconductor Equipment Market
  • 3.4. Telecommunications
    • 3.4.1. Wireless
    • 3.4.2. Internet

Chapter 4. High-Tech Sectors

  • 4.1. Introduction
  • 4.2. Telecommunications
  • 4.3. Optoelectronics
  • 4.4. Software
  • 4.5. Consumer Electronics
  • 4.6. Chemicals/Materials
  • 4.7. Environmental Industry
  • 4.8. Display
    • 4.8.1. TFT-LCD Technology
    • 4.8.2. OLED Technology

Chapter 5. High Technology Industry Development Zones

  • 5.1. Introduction
  • 5.2. Torch Program
  • 5.3. High Technology Industry Development Zones
    • 5.3.1. Anhui Province
      • Hefei High And New Technology Industry Development Zone
    • 5.3.2. Beijing
      • Beijing Experimental Zone For The Development Of New Technology Industries
      • Changping Park Of Bez
      • Fengtai Park Of Bez
    • 5.3.3. Fujian Province
      • Fuzhou Science And Technology Park
      • Xiamen Torch High Technology Industry Development Zone
    • 5.3.4. Gansu Province
      • Lanzhou High And New Technology Industry Development Zone
    • 5.3.5. Guangdong Province
      • Foshan High And New Technology Industry Development Zone
      • Guangzhou Tianhe High And New Technology Industry Development Zone
      • Huizhou Zhongkai New Technology Industry Development Zone
      • Shenzhen Science And Technology Industrial Park
      • Zhongshan High & New Technology Innovation Service Center
      • Zhuhai High And New Technology Industry Development Zone
    • 5.3.6. Guangxi Zhuang Autonomous Region
      • Guilin High And New Technology Industry Development Zone
      • Nanning New Technology Industry Development Zone
    • 5.3.7. Guizhou Province
      • Guiyang Xintian New Technology Industry Development Zone
    • 5.3.8. Hainan Province
      • Hainan International Industrial Park
    • 5.3.9. Hebei Province
      • Baoding New Technology Industry Development Zone
      • Shijiazhuang High And New Technology Industry Development Zone
    • 5.3.10. Heilongjiang Province
      • Daqing High And New Technology Industry Development Zone
      • Harbin High And New Technology Industry Development Zone
    • 5.3.11. Henan Province
      • Luoyang High And New Technology Industry Development Zone
      • Zhengzhou High And New Technology Industry Development Zone
    • 5.3.12. Hubei Province
      • Wuhan Donghu New Technology Development Zone
      • Xiangfan High And New Technology Industry Development Zone
    • 5.3.13. Hunan Province
      • Changsha High And New Technology Industry Development Zone
      • Zhuzhou High And New Technology Industry Development Zone
    • 5.3.14. Inner Mongolia Autonomous Region
      • Baotou Rare Earth High And New Technology Industry Development Zone
    • 5.3.15. Jiangsu Province
      • Changzhou High And New Technology Industry Development Zone
      • Nanjing High And New Technology Industry Development Zone
      • Suzhou High And New Technology Industry Development Zone
      • Wuxi High And New Technology Industry Development Zone
    • 5.3.16. Jiangxi Province
      • Nanchang High And New Technology Industry Development Zone
    • 5.3.17. Jilin Province
      • Changchun High And New Technology Industry Development Zone
      • Jilin High And New Technology Industry Development Zone
    • 5.3.18. Liaoning Province
      • Anshan High And New Technology Industry Development Zone
      • Dalian High And New Technology Industrial Park
      • Shenyang New-High-Tech Industrial Development Zone
    • 5.3.19. Shaanxi Province
      • Baoji High And New Technology Industry Development Zone
      • Xi'an New Technology Industry Development Zone
    • 5.3.20. Shandong Province
      • Ji'nan High And New Technology Industry Development Zone
      • Qingdao High-Tech Industrial Park
      • Weifang High And New Technology Industry Development Zone
      • Zibo High And New Technology Industry Development Zone
    • 5.3.21. Shanghai
      • Incubator Center Of High-Technology Of China Textile International
      • Shanghai High And New Technology Industry Development Zone
      • Shanghai Zhangjiang Hi-Tech Park
    • 5.3.22. Shanxi Province
      • Taoyuan High And New Technology Industry Development Zone
    • 5.3.23. Sichuan Province
      • Chengdu High And New Technology Industry Development Zone
      • Chongqing High And New Technology Industry Development Zone
      • Mianyang High And New Technology Industry Development Zone
    • 5.3.24. Tianjin
      • Tianjin New Technology Industrial Park
    • 5.3.25. Xinjiang Uygur Autonomous Region
      • Urumqi High And New Technology Industry Development Zone
    • 5.3.26. Yunnan Province
      • Kunming High And New Technology Industry Development Zone
    • 5.3.27. Zhejiang Province
      • Hangzhou High And New Technology Industry Development Zone
  • 5.4. Free Trade Zones

Chapter 6. Economic Statistics And Analysis

  • 6.1. Economic Climate
  • 6.2. GDP
  • 6.3. Employment Sector
  • 6.4. Inflation
  • 6.5. Retail Sales
  • 6.6. Foreign Trade
  • 6.7. Consumer Spending
  • 6.8. Investments
  • 6.9. Economic Statistics

LIST OF FIGURES

  • 2.1. Fab Construction By China Region
  • 2.2. IC Technology Development In China
  • 3.1. China's GDP
  • 3.2. China's IC Production
  • 3.3. China's IC Consumption
  • 3.4. China's IC Production/Consumption Ratio
  • 3.5. China's IC Import Requirements
  • 3.6. China's IC Supply/Demand
  • 3.7. China's IC Fab Capacity
  • 3.8. IC Fab Capacity by Region
  • 3.9. China's IC Fab Capacity by Wafer Size
  • 3.10. China's IC Fab Capacity by Geometry
  • 3.11. China's IC Production by Type
  • 3.12. Global Smartphone Market
  • 3.13. China Smartphone Market Shares
  • 3.14. NAND Market By Region
  • 3.15. DRAM Market By Region
  • 3.16. TSMC Revenue By Process
  • 3.17. Semiconductor Equipment Forecast
  • 3.18. Top Semiconductor Equipment Spenders
  • 3.19. Semiconductor Equipment Revenue By Region By Quarter
  • 3.20. Semiconductor Equipment Revenues To China By Quarter
  • 3.21. Semiconductor Equipment Purchases By CXMT and YMTC
  • 3.22. China's 5G Capital Expenditure
  • 3.23. China Internet Users
  • 4.1. China LED Market
  • 4.2. China's Consumer Electronics Exports
  • 4.3. Materials Forecast By Region
  • 5.1. Map Of Regions Of China
  • 6.1. China's GDP Growth

LIST OF TABLES

  • 2.1. Chinese IC Fab Construction
  • 2.2. Chinese Foundry Construction
  • 2.3. China IC Packaging And Testing Firms: Wholly-Owned International Firms
  • 2.4. China IC Packaging And Testing Firms: Joint Ventures
  • 2.5. China IC Packaging And Testing Firms: Wholly-Owned Taiwan Firms
  • 2.6. China IC Packaging And Testing Firms: Locally-Owned Firms
  • 2.7. China fabless IC design firms
  • 2.8. Main Pure-Play Foundry Revenues
  • 3.1. Teardown Of Huawei P30 And P40
  • 3.2. Bit Growth Change For DRAMSs By Manufacturer
  • 3.3. Bit Growth Change For NAND By Manufacturer
  • 3.4. DRAM Roadmap By Manufacturer
  • 3.5. 3d NAND Roadmap By Manufacturer
  • 3.6. Top Semiconductor Foundries
  • 3.7. SMIC Fabs
  • 3.8. SMIC Revenues By Process
  • 3.9. Initial Volume Production Comparison Between TSMC and SMIC
  • 3.10. Chip Costs Per Node
  • 4.1. China's Leading Export/Import Partners For High-Technology Products
  • 4.2. Main Software Parks In China
  • 4.3. TFT-LCD Plants In China
  • 4.4. OLED Plants In China
  • 5.1. National High Technology Industry Development Zones

Metrology, Inspection, and Process Control in VLSI Manufacturing

Chapter 1. Introduction

Chapter 2. Executive Summary

Chapter 3. Metrology/Inspection Technologies

  • 3.1. Introduction
  • 3.2. Imaging Techniques
    • 3.2.1. Scanning Electron Microscope (SEM)
    • 3.2.2. Transmission Electron Microscope (TEM)
  • 3.3. Scanning Probe Microscopes
    • 3.3.1. Atomic Force Microscopy (AFM)
    • 3.3.2. Scanning Tunneling Microscopy (STM)
    • 3.3.3. Scanning Probe Microscopy (SPM)
    • 3.3.4. AFM Types
      • 3.3.4.1 Contact AFM
      • 3.3.4.2 Dynamic Force Mode AFM Techniques
    • 3.3.5. Scanning Surface Potential Microscopy (SSPM)
  • 3.4. Optical Techniques
    • 3.4.1. Scatterometry
      • 3.4.1.1 Ellipsometry
      • 3.4.1.2 Reflectometry
      • 3.4.1.3 Scatterometry Developments
    • 3.4.2. Total Reflection X-Ray Fluorescence (TXRF)
    • 3.4.3. Energy Dispersive X-Ray Analysis (EDX)
    • 3.4.4. Secondary Ion Mass Spectrometry (SIMS)
      • 3.4.4.1 Surface Imaging Using SIMS
      • 3.4.4.2 SIMS Depth Profiling
    • 3.4.5. Auger Electron Spectroscopy
    • 3.4.6. Focused Ion Beam (FIB)
    • 3.4.7. X-Ray Reflectometry (XRR)
    • 3.4.8. X-Ray Photoelectron Spectroscopy (XPS)
    • 3.4.9. Rutherford Backscattering (RBS)
    • 3.4.10. Optical Acoustics Metrology
    • 3.4.11. Fourier Transform Infrared Spectroscopy (FTIR)
    • 3.4.12. Thermally-Induced Voltage Alteration (TIVA)
    • 3.4.13 Optical Second Harmonic Generation (SHG)
  • 3.5. Film Thickness And Roughness
    • 3.5.1. Surface Inspection Technology
    • 3.5.2. Dimensional Technology
    • 3.5.3. Stylus Profilometer

Chapter 4. Defect Review/Wafer Inspection

  • 4.1. Introduction
  • 4.2. Defect Review
    • 4.2.1. SEM Defect Review
    • 4.2.2. Optical Defect Review
    • 4.2.3. Other Defect Review
  • 4.3. Patterned Wafer Inspection
    • 4.3.1. E-Beam Patterned Wafer Inspection
    • 4.3.2. Optical Patterned Wafer Inspection
  • 4.4. Unpatterned Wafer Inspection
  • 4.5. Macro-Defect Inspection

Chapter 5. Thin Film Metrology

  • 5.1. Introduction
    • 5.1.1. Front End Applications
    • 5.1.2. Back End Applications
  • 5.2. Metal Thin-Film Metrology
  • 5.3. Non-Metal Thin-Film Metrology
  • 5.4. Substrate/Other Thin Film Metrology

Chapter 6. Lithography Metrology

  • 6.1. Overlay
  • 6.2. CD
  • 6.3. Mask (Reticle) Metrology/Inspection

Chapter 7. Market Forecast

  • 7.1. Introduction
  • 7.2. Market Forecast Assumptions
  • 7.3. Market Forecast
    • 7.3.1. Total Process Control Market Forecast
    • 7.3.2. Lithography Metrology Market Forecast
      • 7.3.2.1 Overlay Market Forecast
      • 7.3.2.2 CD Measurement Market Forecast
      • 7.3.2.3 Mask Inspection Market Forecast
      • 7.3.2.4 Mask Metrology Market Forecast
    • 7.3.3. Wafer Inspection / Defect Review Market
      • 7.3.3.1 Patterned Wafer Inspection Market Forecast
      • E-Beam Patterned Wafer Inspection Market Forecast
      • Optical Patterned Wafer Inspection Market Forecast
      • 7.3.3.2 Defect Review Market Forecast
      • SEM Defect Review Market Forecast
      • Optical Defect Review Market Forecast
      • 7.3.3.3 Unpatterned Wafer Inspection Market Forecast
      • 7.3.3.4 Macro Defect Detection Market Forecast
    • 7.3.4. Thin Film Metrology Market Forecast
      • 7.3.4.1 Non-Metal Thin Film Metrology Market Forecast
      • Non-Metal Standalone Thin Film Metrology Market Forecast
      • Non-Metal Integrated Thin Film Metrology Market Forecast
      • 7.3.4.2 Substrate / Other Thin Film Metrology Market Forecast
    • 7.3.5. Other Process Control Systems Market Forecast
    • 7.3.6 Back-End Metrology/Inspection Market Forecast

Chapter 8. Integrated/In-Situ Metrology/Inspection Trends

  • 8.1. Introduction
  • 8.2. In-Situ Metrology
  • 8.3. Integrated Metrology
    • 8.3.1. Benefits
    • 8.3.2. Limitations

Chapter 9. Key Drivers

  • 9.1. 3D
  • 9.2. Back End Metrology Inspection
  • 9.3. 300mm/450mm Wafers
  • 9.4. Copper Metrology
  • 9.5. Low-K Dielectrics
  • 9.6. Chemical Mechanical Planarization (CMP)
  • 9.7. Ion Implant

LIST OF TABLES

  • 3.1. Comparison Of Derivative AFM Techniques
  • 5.1. Comparison Of White-Light With Multiple-Angle Laser Ellipsometry
  • 7.1. Total Process Control Market Forecast
  • 7.2. Lithography Metrology Market Forecast
  • 7.3. Overlay Market Forecast
  • 7.4. CD Measurement Market Forecast
  • 7.5. Mask Inspection Market Forecast
  • 7.6. Mask Metrology Market Forecast
  • 7.7. Wafer Inspection / Defect Review Market Forecast
  • 7.8. Patterned Wafer Inspection Market Forecast
  • 7.9. E-Beam Patterned Wafer Inspection Market Forecast
  • 7.10. Optical Patterned Wafer Inspection Market Forecast
  • 7.11. Defect Review Market Forecast
  • 7.12. SEM Defect Review Market Forecast
  • 7.13. Optical Defect Review Market Forecast
  • 7.14. Unpatterned Wafer Inspection Market Forecast
  • 7.15. Macro Defect Detection Market Forecast
  • 7.16. Thin Film Metrology Market Forecast
  • 7.17. Non-Metal Thin Film Metrology Market Forecast
  • 7.18. Non-Metal Standalone Thin Film Metrology Market Forecast
  • 7.19. Non-Metal Integrated Thin Film Metrology Market Forecast
  • 7.20. Substrate / Other Thin Film Metrology Market Forecast
  • 7.21. Other Process Control Systems Market Forecast
  • 7.22. Back-End Metrology/Inspection Market Forecast
  • 9.1. Dielectric Film Challenges

LIST OF FIGURES

  • 3.1. Schematic Of Scanning Electron Microscope
  • 3.2. Schematic Of Transmission Electron Microscope
  • 3.3. Schematic Of Atomic Force Microscopy
  • 3.4. Schematic Of Scanning Tunneling Microscopy
  • 3.5. Interaction Between Two Atoms In AFM
  • 3.6. Schematic Of Lateral Force Microscopy
  • 3.7. Schematic Of Dynamic Force Mode AFM
  • 3.8. Schematic Of Scanning Surface Potential Microscopy
  • 3.9. Principle Of Scatterometry
  • 3.10. Schematic Of Ellipsometer
  • 3.11. Principles Of CD Scatterometry
  • 3.12. Conventional TXRF Analysis Geometry
  • 3.13. Schematic Of Secondary Ion Mass Spectrometry
  • 3.14. Principle Of Auger Electron Emission
  • 3.15. Schematic Of Auger Electron Spectroscopy
  • 3.16. Schematic Of Focused Ion Beam Technology
  • 3.17. Schematic Of X-Ray Reflectometry
  • 3.18. Schematic Of X-Ray Photoelectron Spectroscopy
  • 3.19. Schematic Of Rutherford Backscattering
  • 3.20. Schematic Of Optical Acoustics Metrology
  • 3.21. Schematic Of Optical Second Harmonic Generation
  • 3.22. Spatial Wavelength Of Nanotopography
  • 3.23. Schematic Of Non-Contact Capacitive Gauging
  • 3.24. Schematic Of Stylus Profilometer
  • 5.1. Spectroscopic Ellipsometry Diagram
  • 6.1. ITRS Overlay Technology Roadmap
  • 6.2. Illustration Of 3D Structure
  • 6.3. ITRS Metrology Roadmap
  • 6.4. Schematic Of OCD Optics
  • 7.1. Total Process Control Market Forecast
  • 7.2. Total Process Control Market By Geographic Region
  • 7.3. Total Process Control Market Vs. Overall Equipment Market
  • 7.4. Lithography Metrology Market Shares
  • 7.5. Overlay Market Shares
  • 7.6. CD Measurement Market Shares
  • 7.7. Mask Inspection Market Shares
  • 7.8. Mask Metrology Market Shares
  • 7.9. Wafer Inspection / Defect Review Market Shares
  • 7.10. Patterned Wafer Inspection Market Shares
  • 7.11. E-Beam Patterned Wafer Inspection Market Shares
  • 7.12. Optical Patterned Wafer Inspection Market Shares
  • 7.13. Defect Review Market Shares
  • 7.14. SEM Defect Review Market Shares
  • 7.15. Optical Defect Review Market Shares
  • 7.16. Unpatterned Wafer Inspection Market Shares
  • 7.17. Macro Defect Detection Market Shares
  • 7.18. Thin Film Metrology Market Shares
  • 7.19. Non-Metal Thin Film Metrology Market Shares
  • 7.20. Non-Metal Standalone Thin Film Metrology Market Shares
  • 7.21. Non-Metal Integrated Thin Film Metrology Market Shares
  • 7.22. Substrate / Other Thin Film Metrology Market Shares
  • 7.23. Other Process Control Systems Market Shares
  • 7.24. Other Process Software Market Shares
  • 7.26. Back-End Metrology/Inspection Market Shares
  • 8.1. Integrated Control In A Fab
  • 9.1. Polish Endpoint Control

Plasma Etching: Market Analysis and Strategic Issues

Chapter 1. Introduction

  • 1.1. The Need For This Report

Chapter 2. Executive Summary

  • 2.1. Summary of Technical Issues
  • 2.2. Summary of User Issues
  • 2.3. Summary of Supplier Issues
  • 2.4. Summary of Market Forecasts

Chapter 3. Technical Issues and Trends

  • 3.1. Introduction
  • 3.2. Processing Issues
    • 3.2.1. Chlorine Versus Fluorine Processes
    • 3.2.2. Multilevel Structures
    • 3.2.3. New Materials
    • 3.2.4. GaAs Processing
  • 3.3. Plasma Stripping
    • 3.3.1. Photoresist Stripping
    • 3.3.2. Low-K Removal
  • 3.4. Safety Issues
    • 3.4.1. System Design Considerations
    • 3.4.2. Gas Handling
    • 3.4.3. Reactor Cleaning

Chapter 4. Market Forecast

  • 4.1. Influence of Technology Trends on the Equipment Market
  • 4.2. Market Forecast Assumptions
  • 4.3. Market Analysis
    • 4.3.1. Plasma Etch and Plasma Strip Shares
    • 4.3.2. Market Forecasts

Chapter 5. Strategic Issues: Users

  • 5.1. Evaluating User Needs
    • 5.1.1. Device Architecture
    • 5.1.2. Wafer Starts and Throughput Requirements
    • 5.1.3. Wafer Size
  • 5.2. Benchmarking a Vendor
    • 5.2.1. Pricing
    • 5.2.2. Vendor Commitment and Attitudes
    • 5.2.3. Vendor Capabilities
    • 5.2.4. System Capabilities
  • 5.3. Cost Analysis
    • 5.3.1. Equipment Price
    • 5.3.2. Installation Costs
    • 5.3.3. Maintenance Costs
    • 5.3.4. Sustaining Costs
    • 5.3.5. Hidden Costs
  • 5.4. User - Supplier Synergy
    • 5.4.1. Feedback During Equipment Evaluation
    • 5.4.2. Feedback During Device Production

Chapter 6. Strategic Issues: Suppliers

  • 6.1. Competition
  • 6.2. Customer Interaction
    • 6.2.1. Customer Support
    • 6.2.2. Cleanroom Needs in the Applications Lab
  • 6.3. Equipment Compatibility in Class 1 Cleanrooms
    • 6.3.1. Footprint Versus Serviceability
    • 6.3.2. Particulate Generation
    • 6.3.3. Automation
    • 6.3.4. Etch Tools

LIST OF FIGURES

  • 3.1. Various Enhanced Designs (a) Helicon, (b) Multiple ECR, (c) Helical Resonator
  • 3.2. Schematic of Inductively Coupled Plasma Source
  • 3.3. Schematic of the HRe Source
  • 3.4. Schematic of the Dipole Magnet Source
  • 3.5. Schematic of Chemical Downstream Etch
  • 3.6. Silicon Trench Structure
  • 3.7. fin/STI Etch Requirements
  • 3.8. FinFET Gate Etch Requirements
  • 3.9. Dual Damascene Dielectric Etch Approaches
  • 4.1. Trends in Minimum Feature Size for Dynamic RAMS
  • 4.2. Market Shares for Dry Etch Equipment
  • 4.3. Market Shares for Strip Equipment
  • 4.4. Distribution of Etch Sales by Type
  • 4.5. Geographical Distribution of Equipment Revenues
  • 4.6. Etch System Demand by Geometry
  • 5.1. Typical First Year Single Wafer System Cost Analysis
  • 6.1. Relationship Between Device Yield and Particles
  • 6.2. Sources of Particles
  • 6.3. Relationship Between Die Yield and Chip Size

LIST OF TABLES

  • 3.1. Silicon Wafer Usage
  • 3.2. Plasma Source Comparison
  • 3.3. Typical Process Specifications
  • 4.1. Worldwide Dry Etch Market Shares
  • 4.2. Worldwide Dry Strip Market Shares
  • 4.3. Worldwide Market Forecast of Plasma Etching Systems
  • 4.4. Distribution of Etch Sales by Device by Vendor
  • 4.5. Number of Equipment Systems by Geometry
  • 5.1. Levels of Integration of Dynamic Rams
  • 5.2. Interconnect Levels of Logic Devices
  • 6.1. Etch Process Specifications

Sub-100nm Lithography: Market Analysis and Strategic Issues

Chapter 1: Introduction

  • 1.1. The Need For This Report

Chapter 2: Executive Summary

  • 2.1. Summary of Major Issues
  • 2.2. Summary of Market Opportunities

Chapter 3: Lithography Issues And Trends

  • 3.1. Optical Systems
    • 3.1.1. Introduction
    • 3.1.2. Deep Ultraviolet (DUV)
  • 3.2. EUV
  • 3.3. Nano-Imprint Lithography
  • 3.4. X-Ray Lithography
  • 3.3. Electron Beam Lithography
  • 3.4. Ion Beam Lithography

Chapter 4: User - Supplier Strategies

  • 4.1. Determining Lithography Needs
  • 4.2. Benchmarking a Vendor
    • 4.2.1. Pricing
    • 4.2.2. Vendor Commitment and Attitudes
    • 4.2.3. Vendor Capabilities
    • 4.2.4. System Capabilities
    • 4.2.5. Vendor Feedback During Equipment Evaluation
    • 4.2.6. Vendor Feedback During Device Production
  • 4.3. Competitive Environment
  • 4.4. Equipment For Class 1 Cleanrooms
  • 4.5. Equipment For the Factory of the Future
  • 4.6. Opportunities

Chapter 5: Market Forecast

  • 5.1. Driving Forces
    • 5.1.1. Technical Trends
    • 5.1.2. Economic Trends
    • 5.1.3. Optical Limitations
  • 5.2. Market Forecast Assumptions
  • 5.3. Market Forecast
    • 5.3.1. Background
    • 5.3.2. Lithography Market Forecast
    • 5.3.3. Market Shares
  • 5.4. EUV Customer Insertion

LIST OF TABLES

  • 2.1. Comparison Between DUV And EUV For Multiple Patterning
  • 3.1. Characteristics Of I-Line Stepper
  • 3.2. Comparison Of Different DUV Lithography Systems
  • 3.2. Characteristics of X-Ray Systems
  • 5.1 . Worldwide Capital Spending
  • 5.2. DRAM Lithographic Requirements
  • 5.3. Worldwide Optical Stepper Market Forecast - Revenues
  • 5.4. Worldwide Optical Stepper Market Forecast - Units
  • 5.5. Worldwide Optical Stepper Market Forecast - ASPs
  • 5.6. Worldwide Stepper Market Shares
  • 5.7. EUV Customer's Technology Roadmaps

LIST OF FIGURES

  • 3.1. Evolution Of Lithography Systems
  • 3.2. Excimer Laser Evolution
  • 3.3. Schematic Of EUV System
  • 3.4. Thermoplastic Nanoimprint Lithography Process
  • 3.5. Step and Flash Nanoimprint Lithography Process
  • 3.6. Illustration of X-Ray Lithography
  • 3.7. Schematic Of Scalpel Electron Beam System
  • 3.8. Multi-Source E-Beam Lithography
  • 3.9. Ion Projection Lithography System
  • 4.1. Technology Nodes Through 2027
  • 4.2. EUV Cost Of Ownership
  • 4.3. Imprint Cost Of Ownership
  • 5.1. Lithography Market Vs Equipment Market
  • 5.2. DUV Immersion Vs EUV
  • 5.3. Lithography Extensions
  • 5.4. Lithography Cost of Ownership
  • 5.5. Segmentation of Stepper/Scan Shipments
  • 5.6. Market Shares of Vendors (Units)
  • 5.7. Unit Market Shares of Vendors
  • 5.8. Worldwide I-Line Market Shares
  • 5.9. Worldwide 248nm Market Shares
  • 5.10. Worldwide 193nm Dry Market Shares
  • 5.11. Worldwide 193nm Wet Market Shares
  • 5.12. Market Shares of Vendors (Revenues)

Thin Film Deposition: Trends, Key Issues, Market Analysis

Chapter 1. Introduction

Chapter 2. Executive Summary

Chapter 3. Physical Vapor Deposition

  • 3.1. Introduction
  • 3.2. Sputtering Technology
  • 3.3. Plasma Technology
  • 3.4. Reactor Designs
    • 3.4.1. Long-Throw Deposition
    • 3.4.2. Collimated Sputter Deposition
    • 3.4.3. Showerhead Deposition
    • 3.4.4. Ionized PVD
  • 3.5. Semiconductor Processing
  • 3.6. Targets

Chapter 4. Chemical Vapor Deposition

  • 4.1. Introduction
  • 4.2. Chemical Vapor Deposition (CVD) Techniques
    • 4.2.1. APCVD
    • 4.2.2. LPCVD
    • 4.2.3. PECVD
    • 4.2.4. HDPCVD
    • 4.2.5. ALD

Chapter 5. Electrochemical Deposition

  • 5.1. Introduction
  • 5.2. Reactor Design
  • 5.3. Challenges
  • 5.4. Additives
  • 5.5. Processing
    • 5.5.1. Superfilling
    • 5.5.2. Aspect Ratios
  • 5.6. Copper Cathodes
  • 5.7. Wet Copper Seed-Layer

Chapter 6. Film Deposition And Film Properties

  • 6.1. Introduction
  • 6.2. Dielectric Deposition
    • 6.2.1. Silicon Dioxide
      • 6.2.1.1. Thermal CVD
      • 6.2.1.2. PECVD
      • 6.2.1.3. HDPCVD
    • 6.2.2. Silicon Nitride
      • 6.2.2.1. Thermal CVD
      • 6.2.2.2. PECVD
      • 6.2.2.3. HDPCVD
    • 6.2.3. High-K Dielectrics
    • 6.2.4. Low-K Dielectrics
  • 6.3. Metal Deposition
    • 6.3.1. Aluminum
    • 6.3.2. Tungsten/Tungsten Silicide
    • 6.3.3. Titanium Nitride

Chapter 7. Vendor Issues

  • 7.1. Introduction
  • 7.2. 450mm Processing
  • 7.3. Integrated Processing
  • 7.4. Copper
  • 7.5. Metrology
  • 7.6. ESD
  • 7.7. Parametric Test

Chapter 8. Market Forecast

  • 8.1. Introduction
  • 8.2. Key Issues
    • 8.2.1. Interconnect Architectures
      • 8.2.1.1. Logic (MPU/ASIC)
      • 8.2.1.2. Memory (Flash)
    • 8.2.2. Processing Trends
      • 8.2.2.1. Dielectric Film Trends
      • 8.2.2.2. Barrier Film Trends
      • 8.2.2.3. Conductor Film Trends
    • 8.2.3. Through-Si-Via (TSV), 3d Stacking Technology
      • 8.2.3.1. Introduction
      • 8.2.3.2. Through Si Via Technologies
    • 8.2.4. Emerging Interconnect Solutions
      • 8.2.4.1. Overview
      • 8.2.4.2. Cu Replacements
  • 8.3. Market Forecast Assumptions
  • 8.4. Market Forecast
    • 8.4.1. Chemical Vapor Deposition
    • 8.4.2. Physical Vapor Deposition
    • 8.4.3. Copper Electroplating Market
    • 8.4.4. Atomic Layer Deposition Market

FIGURES

  • 3.1. Schematic Of Sputtering System
  • 3.2. Magnetron Sputtering Design
  • 3.3. Showerhead Reactor Design
  • 3.4. Ionized PVD
  • 4.1. APCVD Reactor
  • 4.2. Tube CVD Reactor
  • 4.3. HDPCVD Reactor
  • 4.4. ALD Versus PVD Copper Barrier
  • 5.1. Copper Electroplating System
  • 7.1. Comparison Between Semiconductor and Equipment Revenues
  • 8.1. Worldwide MCVD Market Shares
  • 8.2. Worldwide DCVD Market Shares
  • 8.3. Worldwide DCVD Market By Sectors
  • 8.4. Worldwide HDHCVD Market Shares
  • 8.5. Worldwide PECVD Market Shares
  • 8.6. Worldwide SACVD Market Shares
  • 8.7. Worldwide LPCVD Market Shares
  • 8.8. Worldwide PVD Market Shares
  • 8.9. Worldwide ECD Market Shares
  • 8.10. Worldwide ALD Market Shares

TABLES

  • 8.1. Cu Replacements And Native Device
  • 8.2. Worldwide CVD Market Forecast
  • 8.3. Worldwide MCVD Market Shares
  • 8.4. Worldwide DCVD Market Shares
  • 8.5. Worldwide HDPCVD Market Forecast
  • 8.6. Worldwide HDPCVD Market Shares
  • 8.7. Worldwide PECVD Market Forecast
  • 8.8. Worldwide PECVD Market Shares
  • 8.9. Worldwide SACVD Market Forecast
  • 8.10. Worldwide SACVD Market Shares
  • 8.11. Worldwide LPCVD Market Forecast
  • 8.12. Worldwide LPCVD Market Shares
  • 8.13. Worldwide PVD Market Forecast
  • 8.14. Worldwide PVD Market Shares
  • 8.15. Worldwide ECD Market Forecast
  • 8.16. Worldwide ALD Market Forecast
  • 8.16. ALD Applications

Power Semiconductors: Markets, Materials, Technologies

Chapter 1. Introduction

  • 1.1. Manufacturing Processes Are Differentiation Factors
  • 1.2. Vertical Structure Devices Differ From Usual MOS Planar Structure
  • 1.3. Super Junction Processes
  • 1.4. GaN and SiC Semiconductors

Chapter 2. Applications of Power Semiconductors

  • 2.1. Power Semiconductors in Renewable Energy
    • 2.1.1. Solar
    • 2.1.2. Wind
  • 2.2. Power Semiconductors in Hybrid & Electric Vehicles
    • 2.2.1. Automotive Megatrends
    • 2.2.2. Wide Bandgap Devices for HEVs/EVs
  • 2.3. Power Semiconductors in LED Lighting
  • 2.4. Power Semiconductors in Industrial Motor Drives
  • 2.5. Power Semiconductors in Smart Home Market
  • 2.6. GaN and SiC Market Forecast For End Applications

Chapter 3. Market Analysis

  • 3.1. Position of Power Semiconductors in Semiconductor Market
  • 3.2. Growth Potential of IGBTs and Power MOSFETs
  • 3.3. IGBT Market
    • 3.3.1. IGBT Technology Trends
    • 3.3.2. IGBT TAM
    • 3.3.3. IGBT Market Growth By Applications
      • 3.3.3.1 Automotive
      • 3.3.3.2 Power Generation And Grid
      • 3.3.3.3 Consumer Electronics
      • 3.3.3.4 Industrial Controls
      • 3.3.3.5 Railway/Train
      • 3.3.3.6 EV Charging Systems
    • 3.3.4 IGBT Competitive Landscape
      • 3.3.4.1 Global And China Market Share
      • 3.3.4.2 IGBT Business Model
      • 3.3.4.3 Technology Gap Between China And Global Players
  • 3.4. MOSFET TAM
    • 3.4.1. MOSFET TAM Methodology
    • 3.4.2. MOSFET Market Growth By Applications
      • 3.4.2.1 Automotive
      • 3.4.2.2 EV Charging
      • 3.4.2.3 Industrial And Medical
      • 3.4.2.4 Consumer
      • 3.4.2.5 Telecom Network
      • 3.4.3.6 Computing
    • 3.4.4. MOSFET Competitive Landscape
      • 3.4.4.1 Global And China Market Share
      • 3.4.4.2 China Suppliers' Technology/Product Gaps Vs Global Peers
  • 3.5. Emerging End Application Markets
    • 3.5.1. Electric Vehicles
    • 3.5.2. 5G Infrastructure
  • 3.4. Wide Bandgap Power Semiconductor Market

Chapter 4. Next-Generation Power Semiconductors

  • 4.1. Expectations for Overcoming Silicon's Limitations
  • 4.2. Expectations Of SiC and GaN as Next-Generation Substrates
  • 4.3. Benefits of Wide Band Gap Semiconductors
  • 4.4. SiC versus GaN
    • 4.4.1. Material Properties
    • 4.4.2. Material Quality
    • 4.4.3. SiC Lateral Devices:
    • 4.4.4. SiC Vertical Devices
    • 4.4.5. GaN Lateral Devices
  • 4.5. Fabrication of SiC devices
    • 4.5.1. Bulk and Epitaxial Growth of SiC
      • 4.5.1.1 Bulk Growth
      • 4.5.1.2 Epitaxial Growth
      • 4.5.1.3 Defects
    • 4.5.2. Surface Preparation
    • 4.5.3. Etching
    • 4.5.4. Lithography
    • 4.5.5. Ion Implantation
    • 4.5.6. Surface Passivation
    • 4.5.7. Metallization
  • 4.6. Fabrication of GaN devices
    • 4.6.1. GaN Challenges
      • 4.6.1.1 Costs
      • 4.6.1.2 Reliability
      • 4.6.1.3 Component Packaging and Thermal Reliability
      • 4.6.1.4 Control
      • 4.6.1.5 Device Modeling
  • 4.7. Packaging

Chapter 5. Company Profiles

  • 5.1. Power Semiconductor Companies
    • 5.1.1. Infineon
    • 5.1.2. Mitsubishi
    • 5.1.3. Toshiba
    • 5.1.4. STMicroelectronics
    • 5.1.5. Vishay
    • 5.1.6. Fuji Electric
    • 5.1.7. Renesas
    • 5.1.8. Semikron
    • 5.1.9. NXP Semiconductors
    • 5.1.10. Hitachi Power Semiconductor Device
    • 5.1.11. X-Rel Semiconductor
    • 5.1.12. Advanced Linear Devices
    • 5.1.13 Nexperia
    • 5.1.14. Rohm
    • 5.1.15. Sanken Electric
    • 5.1.16. Shindengen Electric
    • 5.1.17. Microchip Technology
    • 5.1.18. GeneSiC Semiconductor
    • 5.1.19. Semisouth Laboratories
    • 5.1.20. United Silicon Carbide
    • 5.1.21. MicroGaN
    • 5.1.22. Powerex
    • 5.1.23. Nitronix
    • 5.1.24. Transform
    • 5.1.25. Allegro Microsystems
    • 5.1.26. GaN Systems
    • 5.1.27 Navitas Semiconductor
    • 5.1.28. Alpha and Omega Semiconductor
    • 5.1.29. ON Semiconductor
    • 5.1.30. Jilin Sino-Microelectronics
    • 5.1.31. BYD Microelectronics
    • 5.1.32. Yangzhou Yangjie Electronic Technology
    • 5.1.33. StarPower
    • 5.1.34. Sino Micro
    • 5.1.35. Yangjie
    • 5.1.36. Jiejie
    • 5.1.37. GoodArk
    • 5.1.38. NCE Power
  • 5.2. SiC Wafer-Related Companies
  • 5.3. GaN Wafer-Related Companies

LIST OF FIGURES

  • 1.1. Evolution Of IGBT Chip Structure
  • 1.2. Effects Of Miniaturization Of IGBT Chip
  • 1.3. SiC Trench-Type MOSFET And Resistance Reduction As Compared With DMOSFET
  • 1.4. Planar And Vertical (Trench) MOSFET
  • 1.5. Schematic Of A FinFET
  • 1.6. Schematic Of A MOSFET And Super Junction MOSFET
  • 1.7. SiC U MOSFET
  • 2.1. Forecast Of Solar Power
  • 2.2. Full Bridge IGBT Topology
  • 2.3. Block Diagram Of Microcontroller-Based Inverter
  • 2.4. Worldwide Wind Turbine Shipments
  • 2.5. Top Wind Power Capacity by Country
  • 2.6. Bill Of Materials For A Typical 30-50kw Inverter
  • 2.7. A Simple Diagram Of A HEV Traction Drive System.
  • 2.8. A More Complex Diagram Of PEEM In A Plug-In Hybrid Electric Vehicle (PHEV)
  • 2.9. Conducting And Switching Loses For Inverter
  • 2.10. Unit Pricing Trends In Power Semiconductors
  • 2.11. System And Component Costs For Wide Bandgap Semiconductors
  • 2.12. Vertical And Lateral HEMT
  • 2.13. GaN Lateral And GaN Vertical HEMTs In EVs
  • 2.14. Market Drivers For LED Biz And Applications
  • 2.15. SSL Vs. Classical Technologies
  • 2.16. LED Performance Vs. Traditional Light Sources
  • 2.17. Energy Production And Use Comparison
  • 2.18. Typical LED Drive Circuit
  • 2.19. Integration Of LED And LED Driver Using TSV
  • 2.20. Simple Power MOSFET Motor Controller
  • 2.21. Basic Operating Principle Of Inverter
  • 2.22. System Block Diagram Of An Air Conditioner
  • 3.1. Mitsubishi's IGBT (Insulated Gate Bipolar Transistor) Generations
  • 3.2. Infineon's MOSFET Generations
  • 3.3. Intel's FinFET Design
  • 3.4. Fuji's MOSFET versus Super Junction MOSFET
  • 3.5. NEC's GaN-on-Si Power Transistor
  • 3.6. Fujitsu's GaN-on-SiC HEMT Transistor
  • 3.7. Power Semiconductor Market Forecast
  • 3.8. Power Semiconductor Market Shares
  • 3.9. Market Forecast For Super Junction MOSFET
  • 3.10. SJ MOSFETs as an Interim Solution
  • 3.11. Global IGBT Shares By Application
  • 3.12. China IGBT Shares By Application
  • 3.13. Global And China Automotive IGBT Forecast
  • 3.14. Global And China Power Generation IGBT Forecast
  • 3.15. Global And China Consumer IGBT Forecast
  • 3.16. Global And China Industrial IGBT Forecast
  • 3.17. Global And China Industrial IGBT Forecast
  • 3.18. Global And China EV Charging IGBT Forecast
  • 3.19. Global IGBT Module Market Shares
  • 3.20. Global IGBT Discrete Market Shares
  • 3.21. Global MOSFET Shares By Application
  • 3.22. China MOSFET Shares By Application
  • 3.23. Global And China Automotive MOSFET Forecast
  • 3.24. Global And China EV Charging MOSFET Forecast
  • 3.25. Global And China Industrial MOSFET Forecast
  • 3.26. Global And China Consumer MOSFET Forecast
  • 3.27. Global And China Telecom MOSFET Forecast
  • 3.28. Global And China Telecom MOSFET Forecast
  • 3.29. MOSFET Market Shares
  • 3.30. Power Demands For ICE And EV
  • 3.31. 5G Demand for Power Semiconductors
  • 3.32. Forecast of Wide Bandgap Semiconductor Market
  • 4.1. Silicon-Based Devices Reaching Maturity
  • 4.2. Enhancement Mode GaN On Si Transistor
  • 4.3. AlGaN/GaN HEMT, GaN MOSFET, MOS-HEMT
  • 4.4. GaN HEMT Material Structure On Si Substrate
  • 4.5. Power Package Integration Roadmap

LIST OF TABLES

  • 2.1. Product Families And The Principal End Uses Of Power Products
  • 2.2. Forecast Of On-Grid Inverters By Type
  • 2.3. EV Shipment Forecast
  • 2.4. Advantages And Disadvantages Of GaN Lateral HEMTs
  • 2.5. Light Source Comparison
  • 2.6. Forecast Of GaN And SiC Power Devices By End Applications
  • 3.1. Power Semiconductor Forecast for Electric Vehicles
  • 3.2. 5G Semiconductor Total Available Market Forecast
  • 4.1. Physical Properties Of Select Semiconductor Materials
  • 4.2. Wide Bandgap Material Properties
  • 4.3. Lattice Constant And CTE Of Semiconductor Starting Material
  • 4.4. GaN FET Vs Si MOSFET Characteristics
  • 4.5. Standard Chemical Solution For Surface Preparation Of SiC Substrates
  • 4.6. Interface Trap Densities For 4H-SiC Under Different Process Conditions

Flip Chip/WLP Manufacturing and Market Analysis

Chapter 1. Introduction

Chapter 2. Executive Summary

Chapter 3. Flip Chip/WLP Issues and Trends

  • 3.1. Introduction
  • 3.2. Wafer Bumping
    • 3.2.1. Solder Bumps
      • 3.2.1.1 Metallurgy
      • 3.2.1.2 Deposition Of UBM
      • 3.2.1.3 Sputter Etching
      • 3.2.1.4 Photolithography
      • 3.2.1.5 Solder Deposition
      • 3.2.1.6 Resist Strip
      • 3.2.1.7 UBM Wet Etch
      • 3.2.1.8 Reflow
      • 3.2.1.9 Flux Issues
    • 3.2.2. Gold Bumps
      • 3.2.2.1 Bump Processing
      • 3.2.2.2 Bonding
      • 3.2.2.3 Coplanarity
      • 3.2.2.4 Conductivity
      • 3.2.2.5 Thermal Properties
      • 3.2.2.6 Size
      • 3.2.2.7 Reliability
      • 3.2.2.8 Cost Issues
    • 3.2.3. Copper Pillar Bumps
    • 3.2.4. Copper Stud Bumping
    • 3.2.5. C4NP
  • 3.3. Wafer Level Packaging
  • 3.4. Pad Redistribution
  • 3.5. Wafer Bumping Costs
    • 3.5.1. Wafer Redistribution And Wafer Bumping Costs
    • 3.5.2. WLCSP Hidden Costs
    • 3.5.3. WLCSP Cost Per Good Die
    • 3.5.4. Wafer-Level Underfill Costs
  • 3.6. Panel Level Packaging

Chapter 4. Lithography Issues And Trends

  • 4.1. Issues
    • 4.1.1. Technical Performance
    • 4.1.2. Capital Investment
    • 4.1.3. Cost Of Consumables
    • 4.1.4. Throughput
    • 4.1.5. Ease Of Use
    • 4.1.6. Flexibility
    • 4.1.7. Equipment Support
    • 4.1.8. Resolution
    • 4.1.9. Solder Bumping Capabilities
    • 4.1.10. Gold Bumping Capabilities
  • 4.2. Exposure Systems
    • 4.2.1. Introduction
      • 4.2.1.1 Reduction Steppers. 4.
      • 4.2.1.2 Full-Field Projection
      • 4.2.1.3 Mask Aligners
      • 4.2.1.4 1X Steppers
      • 4.2.1.5 2X Steppers
  • 4.3. Competitive Technologies
    • 4.3.1. Inkjet Printing
    • 4.3.2. Stencil/Screen Printing
    • 4.3.3. Electroless Metal Deposition

Chapter 5. UBM Etch Issues And Trends

  • 5.1. Introduction
  • 5.2. Technology Issues And Trends
    • 5.2.1. Process Flow
    • 5.2.2. Etch Process
    • 5.2.3. Etch Chemistry
  • 5.3 Batch Versus Single-Wafer Etching

Chapter 6. Metallization Issues and Trends

  • 6.1. Introduction
  • 6.2. Sputtering Metallization
    • 6.2.1. Gold Bump
    • 6.2.2. Solder Bumping
      • 6.2.2.1 T i / Cu and TiW / Cu
      • 6.2.2.2 Al / NiV / Cu
      • 6.2.2.3 T i / N i (V) and TiW / Ni ( V )
      • 6.2.2.4 Cr / Cr-Cu / Cu
    • 6.2.3. Copper Bumping
  • 6.3. Electrodeposition

Chapter 7. Market Analysis

  • 7.1. Market Drivers For Flip Chip And WLP
  • 7.2. Market Opportunities
  • 7.3. Challenges
  • 7.4. Flip Chip Market
    • 7.4.1. Market Dynamics
    • 7.4.2. Market Forecast
  • 7.5. Lithography Market
    • 7.5.1. Introduction
    • 7.5.2. Market Analysis
  • 7.6. Deposition Market

TABLES

  • 3.1. Common UBM Stacks For Solder And Gold Bumping
  • 3.2. Solder Bumping Guidelines
  • 3.3. Gold Bumping Guidelines
  • 3.4. Copper Bumping Guidelines
  • 3.5. Comparison Of Solder Bumping Processes
  • 3.6. ITRS Pin Counts For Different Applications
  • 3.7. Pillar-WLP CSP Guidelines
  • 3.8. Pad Redistribution Guidelines
  • 3.9. Panel Level Packaging Roadmaps
  • 4.1. Key Challenges For WLP Lithography
  • 4.2. Lithography Tools By Vendor
  • 5.1. UBM Film Etchants
  • 5.2. Advantages Of Spin Processing
  • 6.1. Common UBM Stacks For Gold And Solder Bumping
  • 7.1. WLP Demand by Device (Units)
  • 7.2. WLP Demand by Device (Wafers)
  • 7.3. Worldwide Lithography Forecast
  • 7.4. Worldwide Forecast For Deposition Tools

FIGURES

  • 3.1. C4 Chip Connections
  • 3.2. Wafer Bump Technology Roadmap
  • 3.3. Comparison Of Copper Pillar, Flip Chip, And WLP
  • 3.4. Solder Bumping Process
  • 3.5. Three Process Flows For Solder Bumping
  • 3.6. Gold Bumping Process
  • 3.7. Cost Per Gold Bumped Wafer
  • 3.8. Copper Stud Bump
  • 3.9. Breakdown Of Stud Bumping Costs
  • 3.10. C4NP Process Description
  • 3.11. Pillar-WLPCSP Process
  • 3.12. Illustration of Stacked FOWLP
  • 3.13. FOWLP Process Flow Options
  • 3.14. Pad Redistribution Process
  • 3.15. Number of Die on Panel
  • 4.1. Laser-Projection Imaging
  • 4.2. Solder Jet Technology
  • 4.3. Principle Of Screen Printing
  • 4.4. Principle Of Inkjet Printing
  • 4.5. Electroless Under Bump Metallization
  • 5.1. Electroplated Solder Bumping Process
  • 6.1. Indium Bump For Focal Plane Arrays
  • 6.2. Indium Bump For FPA Today And Tomorrow
  • 7.1. WLP Applications
  • 7.2. Wire Bond versus Flip Chip
  • 7.3. Flip Chip and Wire Bond Equipment Forecast
  • 7.4. Growth in Copper Wire Bonding
  • 7.5. Flip Chip Market By Number Of Devices
  • 7.6. Flip Chip Market By Number Of Wafers
  • 7.7. WLP Market by Device - 2016
  • 7.8. WLP Market by Device - 2022
  • 7.9. Device Shipment Forecast WLP Vs Flip Chip
  • 7.10. Device Shipment Forecast FIWLP Vs FOWLP
  • 7.11. Historic Lithography Market Shares
  • 7.12. Lithography Market Share Growth
  • 7.13. ECD Market Shares
  • 7.14. Sputtering Market Shares